From 366f8782cb2d417f4ed171534b9bde119ed728f8 Mon Sep 17 00:00:00 2001 From: Alessandro Comodi Date: Wed, 31 Mar 2021 12:10:28 +0200 Subject: interchange: counter: testing on multiple boards Signed-off-by: Alessandro Comodi --- .../examples/tests/counter/CMakeLists.txt | 19 +++---------------- fpga_interchange/examples/tests/counter/arty100t.xdc | 14 ++++++++++++++ fpga_interchange/examples/tests/counter/arty35t.xdc | 14 ++++++++++++++ fpga_interchange/examples/tests/counter/basys3.xdc | 14 ++++++++++++++ .../examples/tests/counter/counter_arty.xdc | 14 -------------- .../examples/tests/counter/counter_basys3.xdc | 14 -------------- 6 files changed, 45 insertions(+), 44 deletions(-) create mode 100644 fpga_interchange/examples/tests/counter/arty100t.xdc create mode 100644 fpga_interchange/examples/tests/counter/arty35t.xdc create mode 100644 fpga_interchange/examples/tests/counter/basys3.xdc delete mode 100644 fpga_interchange/examples/tests/counter/counter_arty.xdc delete mode 100644 fpga_interchange/examples/tests/counter/counter_basys3.xdc (limited to 'fpga_interchange/examples/tests/counter') diff --git a/fpga_interchange/examples/tests/counter/CMakeLists.txt b/fpga_interchange/examples/tests/counter/CMakeLists.txt index 6e187071..2f1509c2 100644 --- a/fpga_interchange/examples/tests/counter/CMakeLists.txt +++ b/fpga_interchange/examples/tests/counter/CMakeLists.txt @@ -1,21 +1,8 @@ -add_interchange_test( - name counter_basys3 +add_interchange_group_test( + name counter family ${family} - device xc7a35t - package cpg236 + board_list basys3 arty35t arty100t tcl run.tcl - xdc counter_basys3.xdc - sources counter.v - techmap ../../remap.v -) - -add_interchange_test( - name counter_arty - family ${family} - device xc7a35t - package csg324 - tcl run.tcl - xdc counter_arty.xdc sources counter.v techmap ../../remap.v ) diff --git a/fpga_interchange/examples/tests/counter/arty100t.xdc b/fpga_interchange/examples/tests/counter/arty100t.xdc new file mode 100644 index 00000000..c40df560 --- /dev/null +++ b/fpga_interchange/examples/tests/counter/arty100t.xdc @@ -0,0 +1,14 @@ +## arty-100t board +set_property PACKAGE_PIN E3 [get_ports clk] +set_property PACKAGE_PIN D9 [get_ports rst] +set_property PACKAGE_PIN H5 [get_ports io_led[4]] +set_property PACKAGE_PIN J5 [get_ports io_led[5]] +set_property PACKAGE_PIN T9 [get_ports io_led[6]] +set_property PACKAGE_PIN T10 [get_ports io_led[7]] + +set_property IOSTANDARD LVCMOS33 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports rst] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]] diff --git a/fpga_interchange/examples/tests/counter/arty35t.xdc b/fpga_interchange/examples/tests/counter/arty35t.xdc new file mode 100644 index 00000000..22c325ae --- /dev/null +++ b/fpga_interchange/examples/tests/counter/arty35t.xdc @@ -0,0 +1,14 @@ +## arty-35t board +set_property PACKAGE_PIN E3 [get_ports clk] +set_property PACKAGE_PIN D9 [get_ports rst] +set_property PACKAGE_PIN H5 [get_ports io_led[4]] +set_property PACKAGE_PIN J5 [get_ports io_led[5]] +set_property PACKAGE_PIN T9 [get_ports io_led[6]] +set_property PACKAGE_PIN T10 [get_ports io_led[7]] + +set_property IOSTANDARD LVCMOS33 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports rst] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]] diff --git a/fpga_interchange/examples/tests/counter/basys3.xdc b/fpga_interchange/examples/tests/counter/basys3.xdc new file mode 100644 index 00000000..09446b5f --- /dev/null +++ b/fpga_interchange/examples/tests/counter/basys3.xdc @@ -0,0 +1,14 @@ +## basys3 breakout board +set_property PACKAGE_PIN W5 [get_ports clk] +set_property PACKAGE_PIN V17 [get_ports rst] +set_property PACKAGE_PIN U16 [get_ports io_led[4]] +set_property PACKAGE_PIN E19 [get_ports io_led[5]] +set_property PACKAGE_PIN U19 [get_ports io_led[6]] +set_property PACKAGE_PIN V19 [get_ports io_led[7]] + +set_property IOSTANDARD LVCMOS33 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports rst] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]] diff --git a/fpga_interchange/examples/tests/counter/counter_arty.xdc b/fpga_interchange/examples/tests/counter/counter_arty.xdc deleted file mode 100644 index c6873df5..00000000 --- a/fpga_interchange/examples/tests/counter/counter_arty.xdc +++ /dev/null @@ -1,14 +0,0 @@ -## basys3 breakout board -set_property PACKAGE_PIN E3 [get_ports clk] -set_property PACKAGE_PIN C2 [get_ports rst] -set_property PACKAGE_PIN N15 [get_ports io_led[4]] -set_property PACKAGE_PIN N16 [get_ports io_led[5]] -set_property PACKAGE_PIN P17 [get_ports io_led[6]] -set_property PACKAGE_PIN R17 [get_ports io_led[7]] - -set_property IOSTANDARD LVCMOS33 [get_ports clk] -set_property IOSTANDARD LVCMOS33 [get_ports rst] -set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] -set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] -set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] -set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]] diff --git a/fpga_interchange/examples/tests/counter/counter_basys3.xdc b/fpga_interchange/examples/tests/counter/counter_basys3.xdc deleted file mode 100644 index 09446b5f..00000000 --- a/fpga_interchange/examples/tests/counter/counter_basys3.xdc +++ /dev/null @@ -1,14 +0,0 @@ -## basys3 breakout board -set_property PACKAGE_PIN W5 [get_ports clk] -set_property PACKAGE_PIN V17 [get_ports rst] -set_property PACKAGE_PIN U16 [get_ports io_led[4]] -set_property PACKAGE_PIN E19 [get_ports io_led[5]] -set_property PACKAGE_PIN U19 [get_ports io_led[6]] -set_property PACKAGE_PIN V19 [get_ports io_led[7]] - -set_property IOSTANDARD LVCMOS33 [get_ports clk] -set_property IOSTANDARD LVCMOS33 [get_ports rst] -set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] -set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] -set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] -set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]] -- cgit v1.2.3