From 336d31cbcf592455abdbefc01ec5c6f87914b4f3 Mon Sep 17 00:00:00 2001 From: Alessandro Comodi Date: Wed, 17 Mar 2021 18:43:29 +0100 Subject: fpga_interchange: add more devices Signed-off-by: Alessandro Comodi --- fpga_interchange/examples/tests/wire/wire_arty.xdc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'fpga_interchange/examples/tests/wire/wire_arty.xdc') diff --git a/fpga_interchange/examples/tests/wire/wire_arty.xdc b/fpga_interchange/examples/tests/wire/wire_arty.xdc index c923f0fc..54c661c9 100644 --- a/fpga_interchange/examples/tests/wire/wire_arty.xdc +++ b/fpga_interchange/examples/tests/wire/wire_arty.xdc @@ -1,5 +1,5 @@ -set_property PACKAGE_PIN N16 [get_ports i] -set_property PACKAGE_PIN N15 [get_ports o] +set_property PACKAGE_PIN A8 [get_ports i] +set_property PACKAGE_PIN H5 [get_ports o] set_property IOSTANDARD LVCMOS33 [get_ports i] set_property IOSTANDARD LVCMOS33 [get_ports o] -- cgit v1.2.3