From 32327b761ab8b8c438bd91d6c32f061ffaed3454 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Apr 2019 20:16:29 +0100 Subject: generic: Simple working example Signed-off-by: David Shah --- generic/examples/report.py | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100644 generic/examples/report.py (limited to 'generic/examples/report.py') diff --git a/generic/examples/report.py b/generic/examples/report.py new file mode 100644 index 00000000..c43367fa --- /dev/null +++ b/generic/examples/report.py @@ -0,0 +1,13 @@ +with open("blinky.txt", "w") as f: + for nname, net in ctx.nets: + print("# Net %s" % nname, file=f) + # FIXME: Pip ordering + for wire, pip in net.wires: + if pip.pip != "": + print("%s" % pip.pip, file=f) + print("", file=f) + for cname, cell in ctx.cells: + print("# Cell %s at %s" % (cname, cell.bel), file=f) + for param, val in cell.params: + print("%s.%s %s" % (cell.bel, param, val), file=f) + print("", file=f) \ No newline at end of file -- cgit v1.2.3