From 2f56b989598def4682b29ccfe3bbe6f540e4e12a Mon Sep 17 00:00:00 2001 From: David Shah Date: Wed, 27 Nov 2019 15:17:53 +0000 Subject: generic: Add support for post-PnR simulation Signed-off-by: David Shah --- generic/examples/simtest.sh | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100755 generic/examples/simtest.sh (limited to 'generic/examples/simtest.sh') diff --git a/generic/examples/simtest.sh b/generic/examples/simtest.sh new file mode 100755 index 00000000..ef328914 --- /dev/null +++ b/generic/examples/simtest.sh @@ -0,0 +1,7 @@ +#!/usr/bin/env bash +set -ex +yosys -p "tcl ../synth/synth_generic.tcl 4 blinky.json" blinky.v +${NEXTPNR:-../../nextpnr-generic} --no-iobs --pre-pack simple.py --pre-place simple_timing.py --json blinky.json --post-route bitstream.py --write pnrblinky.json +yosys -p "read_json pnrblinky.json; write_verilog -noattr -norename pnrblinky.v" +iverilog -o blinky_simtest ../synth/prims.v blinky_tb.v pnrblinky.v +vvp -N ./blinky_simtest -- cgit v1.2.3