From 841d126672112e65450322c5f905bbf22b7dfa54 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 26 Jun 2018 16:04:10 +0200 Subject: CarryInSet added to bitstream gen, add counter tb Signed-off-by: David Shah --- ice40/carry_tests/.gitignore | 2 ++ 1 file changed, 2 insertions(+) (limited to 'ice40/carry_tests/.gitignore') diff --git a/ice40/carry_tests/.gitignore b/ice40/carry_tests/.gitignore index 749cb303..427dd825 100644 --- a/ice40/carry_tests/.gitignore +++ b/ice40/carry_tests/.gitignore @@ -1,2 +1,4 @@ *.vcd *_out.v +*.out + -- cgit v1.2.3