From 21d5a04501e411b8c1391606c1eafba5d4789c41 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 26 Jun 2018 15:55:50 +0200 Subject: Carry chains now routable Signed-off-by: David Shah --- ice40/carry_tests/counter.v | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'ice40/carry_tests/counter.v') diff --git a/ice40/carry_tests/counter.v b/ice40/carry_tests/counter.v index 8906ff45..1379a330 100644 --- a/ice40/carry_tests/counter.v +++ b/ice40/carry_tests/counter.v @@ -1,9 +1,9 @@ module top(input clk, cen, rst, ina, inb, output outa, outb, outc, outd); - reg [3:0] ctr = 0; + reg [15:0] ctr = 0; always @(posedge clk) ctr <= ctr + 1'b1; - assign {outa, outb, outc, outd} = ctr; + assign {outa, outb, outc, outd} = ctr[15:12]; endmodule -- cgit v1.2.3