From 031d8e811f9ce00f0c72e697789f991834d1f8f2 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 12 Jun 2018 13:40:22 +0200 Subject: ice40: Adding a placement validity checker Signed-off-by: David Shah --- ice40/pack.cc | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) (limited to 'ice40/pack.cc') diff --git a/ice40/pack.cc b/ice40/pack.cc index eb783f2f..ff421c17 100644 --- a/ice40/pack.cc +++ b/ice40/pack.cc @@ -90,13 +90,12 @@ static void pack_nonlut_ffs(Design *design) } // Pack constants (simple implementation) -static void pack_constants(Design *design) { - CellInfo *gnd_cell = create_ice_cell(design, "ICESTORM_LC", - "$PACKER_GND"); +static void pack_constants(Design *design) +{ + CellInfo *gnd_cell = create_ice_cell(design, "ICESTORM_LC", "$PACKER_GND"); gnd_cell->attrs["LUT_INIT"] = "0"; - CellInfo *vcc_cell = create_ice_cell(design, "ICESTORM_LC", - "$PACKER_VCC"); + CellInfo *vcc_cell = create_ice_cell(design, "ICESTORM_LC", "$PACKER_VCC"); vcc_cell->attrs["LUT_INIT"] = "1"; for (auto net : design->nets) { @@ -105,7 +104,8 @@ static void pack_constants(Design *design) { ni->driver.cell = gnd_cell; ni->driver.port = "O"; design->cells[gnd_cell->name] = gnd_cell; - } else if (ni->driver.cell != nullptr && ni->driver.cell->type == "VCC") { + } else if (ni->driver.cell != nullptr && + ni->driver.cell->type == "VCC") { ni->driver.cell = vcc_cell; ni->driver.port = "O"; design->cells[vcc_cell->name] = vcc_cell; -- cgit v1.2.3