## basys3 breakout board set_property PACKAGE_PIN W5 [get_ports clk] set_property PACKAGE_PIN V17 [get_ports rst] set_property PACKAGE_PIN U16 [get_ports io_led[4]] set_property PACKAGE_PIN E19 [get_ports io_led[5]] set_property PACKAGE_PIN U19 [get_ports io_led[6]] set_property PACKAGE_PIN V19 [get_ports io_led[7]] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports rst] set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]