## basys3 breakout board set_property PACKAGE_PIN E3 [get_ports clk] set_property PACKAGE_PIN C2 [get_ports rst] set_property PACKAGE_PIN N15 [get_ports io_led[4]] set_property PACKAGE_PIN N16 [get_ports io_led[5]] set_property PACKAGE_PIN P17 [get_ports io_led[6]] set_property PACKAGE_PIN R17 [get_ports io_led[7]] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports rst] set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]