## lifcl17 pins for testing based on breakout board set_property PACKAGE_PIN 55 [get_ports clk] set_property PACKAGE_PIN 57 [get_ports rst] set_property PACKAGE_PIN 56 [get_ports io_led[4]] set_property PACKAGE_PIN 59 [get_ports io_led[5]] set_property PACKAGE_PIN 60 [get_ports io_led[6]] set_property PACKAGE_PIN 61 [get_ports io_led[7]] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports rst] set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]