set_property PACKAGE_PIN W5 [get_ports clk] set_property PACKAGE_PIN U16 [get_ports d] set_property PACKAGE_PIN E19 [get_ports r] set_property PACKAGE_PIN U19 [get_ports q] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports d] set_property IOSTANDARD LVCMOS33 [get_ports r] set_property IOSTANDARD LVCMOS33 [get_ports q]