diff options
Diffstat (limited to 'tests/proc')
-rw-r--r-- | tests/proc/bug2619.ys | 23 | ||||
-rw-r--r-- | tests/proc/bug2656.ys | 31 | ||||
-rw-r--r-- | tests/proc/bug2962.ys | 22 | ||||
-rw-r--r-- | tests/proc/rmdead.v | 46 | ||||
-rw-r--r-- | tests/proc/rmdead.ys | 4 |
5 files changed, 126 insertions, 0 deletions
diff --git a/tests/proc/bug2619.ys b/tests/proc/bug2619.ys new file mode 100644 index 000000000..a080b94f5 --- /dev/null +++ b/tests/proc/bug2619.ys @@ -0,0 +1,23 @@ +read_verilog << EOT + +module top(...); + +input D1, D2, R, CLK; +output reg Q1, Q2; + +always @(posedge CLK, posedge R) begin + Q1 <= 0; + if (!R) begin + Q1 <= D1; + Q2 <= D2; + end +end + +endmodule + +EOT + +proc +opt +select -assert-count 1 t:$adff +select -assert-count 1 t:$dffe diff --git a/tests/proc/bug2656.ys b/tests/proc/bug2656.ys new file mode 100644 index 000000000..3fe7cb33b --- /dev/null +++ b/tests/proc/bug2656.ys @@ -0,0 +1,31 @@ +read_verilog <<EOT +module top (...); + +input clk, rst, d1, d2; +output q1, q2; + +always @(posedge clk) + if (clk) + q1 <= d1; + +always @(posedge clk, posedge rst) + if (rst) + q2 <= 0; + else if (clk) + q2 <= d2; + +endmodule +EOT + +proc +opt + +select -assert-count 1 t:$dff +select -assert-count 1 w:clk %a %co t:$dff %i +select -assert-count 1 w:d1 %a %co t:$dff %i +select -assert-count 1 w:q1 %a %ci t:$dff %i +select -assert-count 1 t:$adff +select -assert-count 1 w:clk %a %co t:$adff %i +select -assert-count 1 w:rst %a %co t:$adff %i +select -assert-count 1 w:d2 %a %co t:$adff %i +select -assert-count 1 w:q2 %a %ci t:$adff %i diff --git a/tests/proc/bug2962.ys b/tests/proc/bug2962.ys new file mode 100644 index 000000000..99da8db5d --- /dev/null +++ b/tests/proc/bug2962.ys @@ -0,0 +1,22 @@ +read_ilang << EOT +module \top + wire width 4 input 1 \a + wire width 2 input 2 \b + wire input 3 \clk + wire width 4 output 4 \q + wire input 5 \en + wire width 4 \nq + process \p + assign \nq \a + assign \nq [1:0] \b + switch \en + case 1'1 + assign \nq [3] 1'0 + end + sync posedge \clk + update \q \nq + end +end +EOT +proc +check -assert diff --git a/tests/proc/rmdead.v b/tests/proc/rmdead.v new file mode 100644 index 000000000..2be89e533 --- /dev/null +++ b/tests/proc/rmdead.v @@ -0,0 +1,46 @@ +module top ( + input wire signed x, + output reg [31:0] y +); + wire signed fail = ~x; + + always @* + case (x) + 1'b0: y = 0; + 1'b1: y = 1; + default: y = fail; + endcase + + always @* + case (x) + 2'sb00: y = 0; + 2'sb00: y = fail; + endcase + + always @* + case (x) + 2'sb00: y = 0; + default: y = fail; + 2'sb01: y = 1; + 2'sb10: y = 2; + 2'sb11: y = 3; + 2'sb00: y = fail; + 2'sb01: y = fail; + 2'sb10: y = fail; + 2'sb11: y = fail; + endcase + + + always @* + case ({x, x}) + 2'b00: y = 0; + 2'b01: y = 1; + 2'b10: y = 2; + 2'b11: y = 3; + default: y = fail; + 2'b00: y = fail; + 2'b01: y = fail; + 2'b10: y = fail; + 2'b11: y = fail; + endcase +endmodule diff --git a/tests/proc/rmdead.ys b/tests/proc/rmdead.ys new file mode 100644 index 000000000..697d899e3 --- /dev/null +++ b/tests/proc/rmdead.ys @@ -0,0 +1,4 @@ +read_verilog rmdead.v +proc +opt_clean +select -assert-count 0 w:fail |