diff options
Diffstat (limited to 'tests/sva/basic05.vhd')
-rw-r--r-- | tests/sva/basic05.vhd | 26 |
1 files changed, 26 insertions, 0 deletions
diff --git a/tests/sva/basic05.vhd b/tests/sva/basic05.vhd new file mode 100644 index 000000000..930f1ba22 --- /dev/null +++ b/tests/sva/basic05.vhd @@ -0,0 +1,26 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity demo is + port ( + clock : in std_logic; + ctrl : in std_logic; + x : out std_logic + ); +end entity; + +architecture rtl of demo is + signal read : std_logic; + signal write : std_logic; + signal ready : std_logic; +begin + process (clock) begin + if (rising_edge(clock)) then + read <= not ctrl; + write <= ctrl; + ready <= write; + end if; + end process; + + x <= read xor write xor ready; +end architecture; |