Commit message (Collapse) | Author | Age | Files | Lines | ||
---|---|---|---|---|---|---|
... | ||||||
| * | Skip spaces around macro arguments | Jim Paris | 2018-05-17 | 1 | -0/+1 | |
| | | ||||||
* | | Merge pull request #551 from olofk/ice40_cells_sim_ports | Clifford Wolf | 2018-05-17 | 1 | -43/+23 | |
|\ \ | |/ |/| | Avoid mixing module port declaration styles in ice40 cells_sim.v | |||||
| * | Avoid mixing module port declaration styles in ice40 cells_sim.v | Olof Kindgren | 2018-05-17 | 1 | -43/+23 | |
|/ | | | | | | The current code requires workarounds for several simulators For modelsim, the file must be compiled with -mixedansiports and xsim needs --relax. | |||||
* | Fix handling of anyconst/anyseq attrs in VHDL code via Verific | Clifford Wolf | 2018-05-15 | 1 | -6/+6 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Remove mercurial from build instructions | Clifford Wolf | 2018-05-15 | 1 | -3/+3 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Fix iopadmap for loops between tristate IO buffers | Clifford Wolf | 2018-05-15 | 1 | -0/+21 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Fix iopadmap for cases where IO pins already have buffers on them | Clifford Wolf | 2018-05-15 | 1 | -1/+35 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Some cleanups in setundef.cc | Clifford Wolf | 2018-05-13 | 1 | -0/+7 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Use $(OS) in makefile to check for Darwin | Clifford Wolf | 2018-05-13 | 1 | -1/+1 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Merge pull request #505 from thefallenidealist/FreeBSD_build | Clifford Wolf | 2018-05-13 | 3 | -2/+26 | |
|\ | | | | | FreeBSD build | |||||
| * | update README | Johnny Sorocil | 2018-05-06 | 1 | -0/+8 | |
| | | ||||||
| * | autotest.sh: Change from /bin/bash to /usr/bin/env bash | Johnny Sorocil | 2018-05-06 | 1 | -1/+1 | |
| | | | | | | | | | | This enables running tests on Unix systems which are not shipped with bash installed in /bin/bash (eg *BSDs and Solaris). | |||||
| * | Enable building on FreeBSD | Johnny Sorocil | 2018-05-06 | 1 | -1/+17 | |
| | | ||||||
* | | Add "#ifdef __FreeBSD__" | Christian Krämer | 2018-05-13 | 5 | -9/+52 | |
| | | | | | | | | (Re-commit e3575a8 with corrected author field) | |||||
* | | Revert "Add "#ifdef __FreeBSD__"" | Clifford Wolf | 2018-05-13 | 5 | -52/+9 | |
| | | | | | | | | This reverts commit e3575a86c525f2511902e7022893c3923ba8093e. | |||||
* | | Also interpret '&' in liberty functions | Sergiusz Bazanski | 2018-05-12 | 1 | -1/+1 | |
| | | ||||||
* | | Add optimization of tristate buffer with constant control input | Clifford Wolf | 2018-05-12 | 1 | -0/+17 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | | Add "hierarchy -simcheck" | Clifford Wolf | 2018-05-12 | 1 | -7/+23 | |
|/ | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Further improve handling of zero-length SVA consecutive repetition | Clifford Wolf | 2018-05-05 | 1 | -69/+108 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Fix handling of zero-length SVA consecutive repetition | Clifford Wolf | 2018-05-05 | 1 | -26/+46 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add "#ifdef __FreeBSD__" | Johnny Sorocil | 2018-05-05 | 5 | -9/+52 | |
| | ||||||
* | Add ABC FAQ to "help abc" | Clifford Wolf | 2018-05-04 | 1 | -2/+6 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add "yosys -e regex" for turning warnings into errors | Clifford Wolf | 2018-05-04 | 3 | -4/+22 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Merge pull request #537 from mithro/yosys-vpr | Clifford Wolf | 2018-05-04 | 4 | -11/+48 | |
|\ | | | | | Improving Yosys when used with VPR | |||||
| * | Improving vpr output support. | Tim 'mithro' Ansell | 2018-04-18 | 4 | -7/+40 | |
| | | | | | | | | | | | | | | * Support output BLIF for Xilinx architectures. * Support using .names in BLIF for Xilinx architectures. * Use the same `NO_LUT` define in both `synth_ice40` and `synth_xilinx`. | |||||
| * | synth_ice40: Rework the vpr blif output slightly. | Tim 'mithro' Ansell | 2018-04-18 | 1 | -4/+8 | |
| | | ||||||
* | | Replace -ignore_redef with -[no]overwrite | Clifford Wolf | 2018-05-03 | 5 | -21/+58 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | | Support more character literals | Dan Gisselquist | 2018-05-03 | 1 | -1/+9 | |
| | | ||||||
* | | Update ABC to git rev f23ea8e | Clifford Wolf | 2018-04-30 | 1 | -1/+1 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | | Add "synth_intel --noiopads" | Clifford Wolf | 2018-04-30 | 1 | -2/+11 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | | Add $dlatch support to write_verilog | Clifford Wolf | 2018-04-22 | 1 | -0/+38 | |
|/ | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add "synth_ice40 -nodffe" | Clifford Wolf | 2018-04-16 | 1 | -2/+11 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add "write_blif -inames -iattr" | Clifford Wolf | 2018-04-15 | 1 | -22/+46 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add statement labels for immediate assertions | Clifford Wolf | 2018-04-13 | 1 | -18/+21 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Allow "property" in immediate assertions | Clifford Wolf | 2018-04-12 | 1 | -17/+20 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Improve Makefile error handling for when abc/ is a hg working copy | Clifford Wolf | 2018-04-12 | 1 | -0/+3 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add PRIM_HDL_ASSERTION support to Verific importer | Clifford Wolf | 2018-04-07 | 1 | -3/+19 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Fix handling of $global_clocking in Verific | Clifford Wolf | 2018-04-06 | 1 | -1/+7 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add documentation for anyconst/anyseq/allconst/allseq attribute | Clifford Wolf | 2018-04-06 | 1 | -0/+4 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add read_verilog anyseq/anyconst/allseq/allconst attribute support | Clifford Wolf | 2018-04-06 | 1 | -1/+33 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add Verific anyseq/anyconst/allseq/allconst attribute support | Clifford Wolf | 2018-04-06 | 1 | -2/+36 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add "verific -autocover" | Clifford Wolf | 2018-04-06 | 2 | -5/+17 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Merge pull request #530 from makaimann/set-ram-flags | Clifford Wolf | 2018-04-06 | 1 | -0/+3 | |
|\ | | | | | Set RAM runtime flags for Verific frontend | |||||
| * | Set RAM runtime flags for Verific frontend | makaimann | 2018-04-05 | 1 | -0/+3 | |
|/ | ||||||
* | Added missing dont_use handling for SR FFs to dfflibmap | Clifford Wolf | 2018-04-05 | 1 | -0/+4 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Create issue_template.md | Clifford Wolf | 2018-04-04 | 1 | -0/+16 | |
| | ||||||
* | Add smtio.py support for parsing SMT2 (_ bvX n) syntax for BitVec constants | Clifford Wolf | 2018-04-04 | 1 | -0/+3 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Fixed -stbv handling in SMT2 back-end | Clifford Wolf | 2018-04-04 | 1 | -1/+1 | |
| | ||||||
* | Merge pull request #522 from c60k28/master | Clifford Wolf | 2018-04-01 | 11 | -178/+233 | |
|\ | | | | | Fixed broken Quartus backend on dffeas init value, and other updates. | |||||
| * | Fixed broken Quartus backend on dffeas init value (Error (12170): Illegal ↵ | c60k28 | 2018-03-31 | 11 | -178/+233 | |
|/ | | | | value for the POWER_UP parameter. Fixed and tested Cyclone V device |