Commit message (Collapse) | Author | Age | Files | Lines | |
---|---|---|---|---|---|
* | Fixing old e-mail addresses and deadnames | Claire Xenia Wolf | 2021-06-08 | 1 | -1/+1 |
| | | | | | | | | s/((Claire|Xen|Xenia|Clifford)\s+)+(Wolf|Xen)\s+<(claire|clifford)@(symbioticeda.com|clifford.at|yosyshq.com)>/Claire Xenia Wolf <claire@yosyshq.com>/gi; s/((Nina|Nak|N\.)\s+)+Engelhardt\s+<nak@(symbioticeda.com|yosyshq.com)>/N. Engelhardt <nak@yosyshq.com>/gi; s/((David)\s+)+Shah\s+<(dave|david)@(symbioticeda.com|yosyshq.com|ds0.me)>/David Shah <dave@ds0.me>/gi; s/((Miodrag)\s+)+Milanovic\s+<(miodrag|micko)@(symbioticeda.com|yosyshq.com)>/Miodrag Milanovic <micko@yosyshq.com>/gi; s,https?://www.clifford.at/yosys/,http://yosyshq.net/yosys/,g; | ||||
* | preproc: Fix up conditional handling. | Marcelina Kościelnicka | 2021-03-30 | 1 | -3/+17 |
| | | | | | Fixes #2710. Fixes #2711. | ||||
* | verilog: fix handling of nested ifdef directives | Zachary Snow | 2021-03-01 | 1 | -11/+38 |
| | | | | | - track depth so we know whether to consider higher-level elsifs - error on unmatched endif/elsif/else | ||||
* | verilog: error on macro invocations with missing argument lists | Zachary Snow | 2021-02-19 | 1 | -1/+10 |
| | | | | | | This would previously complain about an undefined internal macro if the unapplied macro had not already been used. If it had, it would incorrectly use the arguments from the previous invocation. | ||||
* | verilog: strip leading and trailing spaces in macro args | Zachary Snow | 2021-01-28 | 1 | -1/+5 |
| | |||||
* | verilog: allow spaces in macro arguments | Zachary Snow | 2021-01-20 | 1 | -1/+0 |
| | |||||
* | Fix SYNTHESIS always being defined in Verilog frontend | georgerennie | 2020-12-01 | 1 | -1/+0 |
| | |||||
* | MSVC does not understand __builtin_unreachable | Anonymous Maarten | 2020-06-17 | 1 | -1/+1 |
| | |||||
* | Add support for SystemVerilog-style `define to Verilog frontend | Rupert Swarbrick | 2020-03-27 | 1 | -132/+488 |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | This patch should support things like `define foo(a, b = 3, c) a+b+c `foo(1, ,2) which will evaluate to 1+3+2. It also spots mistakes like `foo(1) (the 3rd argument doesn't have a default value, so a call site is required to set it). Most of the patch is a simple parser for the format in preproc.cc, but I've also taken the opportunity to wrap up the "name -> definition" map in a type, rather than use multiple std::map's. Since this type needs to be visible to code that touches defines, I've pulled it (and the frontend_verilog_preproc declaration) out into a new file at frontends/verilog/preproc.h and included that where necessary. Finally, the patch adds a few tests in tests/various to check that we are parsing everything correctly. | ||||
* | Fixed some missing "verilog_" in documentation | Rodrigo Alejandro Melo | 2019-12-13 | 1 | -1/+1 |
| | |||||
* | Add check for valid macro names in macro definitions | Clifford Wolf | 2019-11-07 | 1 | -7/+11 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Support SystemVerilog `` extension for macros | Jim Paris | 2018-05-17 | 1 | -1/+5 |
| | |||||
* | Skip spaces around macro arguments | Jim Paris | 2018-05-17 | 1 | -0/+1 |
| | |||||
* | Add support for "yosys -E" | Clifford Wolf | 2018-01-07 | 1 | -2/+4 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Accommodate Windows-style paths during include-file processing. | William D. Jones | 2017-11-14 | 1 | -4/+20 |
| | |||||
* | Minor coding style fix | Clifford Wolf | 2017-09-26 | 1 | -1/+1 |
| | |||||
* | Adding support for string macros and macros with arguments after include | combinatorylogic | 2017-09-21 | 1 | -41/+69 |
| | |||||
* | Add support for `resetall compiler directive | Clifford Wolf | 2017-04-26 | 1 | -0/+7 |
| | |||||
* | Fix verilog pre-processor for multi-level relative includes | Clifford Wolf | 2017-03-14 | 1 | -4/+26 |
| | |||||
* | Added support for macros as include file names | Clifford Wolf | 2016-11-28 | 1 | -0/+2 |
| | |||||
* | Remember global declarations and defines accross read_verilog calls | Clifford Wolf | 2016-11-15 | 1 | -1/+14 |
| | |||||
* | SystemVerilog also has assume(), added implicit -D FORMAL | Clifford Wolf | 2015-10-13 | 1 | -1/+2 |
| | |||||
* | Fixed trailing whitespaces | Clifford Wolf | 2015-07-02 | 1 | -3/+3 |
| | |||||
* | Fixed handling of "//" in filenames in verilog pre-processor | Clifford Wolf | 2015-02-14 | 1 | -1/+1 |
| | |||||
* | Define YOSYS and SYNTHESIS in preproc | Clifford Wolf | 2015-01-02 | 1 | -1/+2 |
| | |||||
* | Re-introduced Yosys::readsome() helper function | Clifford Wolf | 2014-10-23 | 1 | -5/+3 |
| | | | | (f.read() + f.gcount() made problems with lines > 16kB) | ||||
* | Replaced readsome() with read() and gcount() | Clifford Wolf | 2014-10-15 | 1 | -3/+5 |
| | |||||
* | Fixed win32 troubles with f.readsome() | Clifford Wolf | 2014-10-11 | 1 | -1/+1 |
| | |||||
* | Added format __attribute__ to stringf() | Clifford Wolf | 2014-10-10 | 1 | -1/+1 |
| | |||||
* | Changed frontend-api from FILE to std::istream | Clifford Wolf | 2014-08-23 | 1 | -15/+16 |
| | |||||
* | Fixed line numbers when using here-doc macros | Clifford Wolf | 2014-08-14 | 1 | -4/+9 |
| | |||||
* | Added support for non-standard """ macro bodies | Clifford Wolf | 2014-08-13 | 1 | -1/+12 |
| | |||||
* | Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespace | Clifford Wolf | 2014-07-31 | 1 | -0/+4 |
| | |||||
* | Fixed counting verilog line numbers for "// synopsys translate_off" sections | Clifford Wolf | 2014-07-30 | 1 | -1/+1 |
| | |||||
* | Fixed Verilog pre-processor for files with no trailing newline | Clifford Wolf | 2014-07-29 | 1 | -1/+1 |
| | |||||
* | Using log_assert() instead of assert() | Clifford Wolf | 2014-07-28 | 1 | -2/+1 |
| | |||||
* | Added Verilog support for "`default_nettype none" | Clifford Wolf | 2014-02-17 | 1 | -1/+0 |
| | |||||
* | Fixed parsing of verilog macros at end of line | Clifford Wolf | 2014-01-18 | 1 | -1/+1 |
| | |||||
* | Fixed parsing of non-arg macro calls followed by "(" | Clifford Wolf | 2013-12-27 | 1 | -1/+7 |
| | |||||
* | Fixed parsing of macros with no arguments and expansion text starting with "(" | Clifford Wolf | 2013-12-27 | 1 | -1/+2 |
| | |||||
* | Added elsif preproc support | Clifford Wolf | 2013-12-18 | 1 | -1/+14 |
| | |||||
* | Added support for macro arguments | Clifford Wolf | 2013-12-18 | 1 | -23/+75 |
| | |||||
* | Fixed O(n^2) performance bug in verilog preprocessor | Clifford Wolf | 2013-11-22 | 1 | -1/+1 |
| | |||||
* | Enable {* .. *} feature per default (removes dependency to REJECT feature in ↵ | Clifford Wolf | 2013-11-22 | 1 | -11/+0 |
| | | | | flex) | ||||
* | Added support for include directories with the new '-I' argument of the | Johann Glaser | 2013-08-20 | 1 | -2/+12 |
| | | | | 'read_verilog' command | ||||
* | added option '-Dname[=definition]' to command 'read_verilog' | Johann Glaser | 2013-05-19 | 1 | -2/+2 |
| | |||||
* | initial import | Clifford Wolf | 2013-01-05 | 1 | -0/+360 |