Commit message (Collapse) | Author | Age | Files | Lines | |
---|---|---|---|---|---|
* | Add "verilog_defines -list" and "verilog_defines -reset" | Clifford Wolf | 2019-10-21 | 1 | -0/+16 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Add "read_verilog -pwires" feature, closes #1106 | Clifford Wolf | 2019-06-19 | 1 | -1/+9 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Merge remote-tracking branch 'origin/master' into clifford/specify | Eddie Hung | 2019-05-03 | 1 | -2/+2 |
|\ | |||||
| * | Include filename in "Executing Verilog-2005 frontend" message, fixes #959 | Clifford Wolf | 2019-04-30 | 1 | -2/+2 |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | | Add specify parser | Clifford Wolf | 2019-04-23 | 1 | -5/+13 |
|/ | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | New behavior for front-end handling of whiteboxes | Clifford Wolf | 2019-04-20 | 1 | -9/+20 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Add "whitebox" attribute, add "read_verilog -wb" | Clifford Wolf | 2019-04-18 | 1 | -2/+12 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Improve read_verilog debug output capabilities | Clifford Wolf | 2019-03-21 | 1 | -5/+24 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Add "read_verilog -noassert -noassume -assert-assumes" | Clifford Wolf | 2018-09-24 | 1 | -1/+22 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Added -no_dump_ptr flag for AST dump options in 'read_verilog' | Udi Finkelstein | 2018-08-23 | 1 | -1/+9 |
| | | | | | | This option disables the memory pointer display. This is useful when diff'ing different dumps because otherwise the node pointers makes every diff line different when the AST content is the same. | ||||
* | Consistent use of 'override' for virtual methods in derived classes. | Henner Zeller | 2018-07-20 | 1 | -6/+6 |
| | | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established) | ||||
* | Use log_file_warning(), log_file_error() functions. | Henner Zeller | 2018-07-20 | 1 | -5/+3 |
| | | | | Wherever we can report a source-level location. | ||||
* | Replace -ignore_redef with -[no]overwrite | Clifford Wolf | 2018-05-03 | 1 | -6/+17 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Bugfix in verilog_defaults argument parser | Clifford Wolf | 2017-12-24 | 1 | -1/+1 |
| | |||||
* | Add a paragraph about pre-defined macros to read_verilog help message | Clifford Wolf | 2017-07-21 | 1 | -0/+4 |
| | |||||
* | Added "verilog_defines" command | Clifford Wolf | 2016-12-15 | 1 | -0/+60 |
| | |||||
* | Bugfix in "read_verilog -D NAME=VAL" handling | Clifford Wolf | 2016-11-28 | 1 | -3/+3 |
| | |||||
* | Remember global declarations and defines accross read_verilog calls | Clifford Wolf | 2016-11-15 | 1 | -1/+1 |
| | |||||
* | Added read_verilog -norestrict -assume-asserts | Clifford Wolf | 2016-08-26 | 1 | -1/+17 |
| | |||||
* | Added "read_verilog -dump_rtlil" | Clifford Wolf | 2016-07-27 | 1 | -1/+9 |
| | |||||
* | No tristate warning message for "read_verilog -lib" | Clifford Wolf | 2016-07-23 | 1 | -3/+3 |
| | |||||
* | Small improvements in Verilog front-end docs | Clifford Wolf | 2016-05-20 | 1 | -0/+3 |
| | |||||
* | Added "yosys -D" feature | Clifford Wolf | 2016-04-21 | 1 | -1/+1 |
| | |||||
* | Fixed typos in verilog_defaults help message | Clifford Wolf | 2016-03-10 | 1 | -3/+3 |
| | |||||
* | SystemVerilog also has assume(), added implicit -D FORMAL | Clifford Wolf | 2015-10-13 | 1 | -2/+2 |
| | |||||
* | Added read_verilog -nodpi | Clifford Wolf | 2015-09-23 | 1 | -0/+19 |
| | |||||
* | Re-created command-reference-manual.tex, copied some doc fixes to online help | Clifford Wolf | 2015-08-14 | 1 | -6/+6 |
| | |||||
* | Spell check (by Larry Doolittle) | Clifford Wolf | 2015-08-14 | 1 | -1/+1 |
| | |||||
* | Add -noautowire option to verilog frontend | Marcus Comstedt | 2015-08-01 | 1 | -1/+8 |
| | |||||
* | Fixed trailing whitespaces | Clifford Wolf | 2015-07-02 | 1 | -2/+2 |
| | |||||
* | Verilog front-end: define `BLACKBOX in -lib mode | Clifford Wolf | 2015-04-19 | 1 | -1/+2 |
| | |||||
* | Added non-std verilog assume() statement | Clifford Wolf | 2015-02-26 | 1 | -1/+11 |
| | |||||
* | Added "read_verilog -nomeminit" and "nomeminit" attribute | Clifford Wolf | 2015-02-14 | 1 | -1/+15 |
| | |||||
* | Print "SystemVerilog" in "read_verilog -sv" log messages | Clifford Wolf | 2014-10-16 | 1 | -1/+1 |
| | |||||
* | namespace Yosys | Clifford Wolf | 2014-09-27 | 1 | -16/+16 |
| | |||||
* | Removed compatbility.{h,cc}: Not using open_memstream/fmemopen anymore | Clifford Wolf | 2014-08-23 | 1 | -4/+1 |
| | |||||
* | Changed frontend-api from FILE to std::istream | Clifford Wolf | 2014-08-23 | 1 | -6/+6 |
| | |||||
* | Added support for global tasks and functions | Clifford Wolf | 2014-08-21 | 1 | -4/+4 |
| | |||||
* | Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespace | Clifford Wolf | 2014-07-31 | 1 | -0/+3 |
| | |||||
* | Using log_assert() instead of assert() | Clifford Wolf | 2014-07-28 | 1 | -1/+0 |
| | |||||
* | Added read_verilog -sv options, added support for bit, logic, | Clifford Wolf | 2014-06-12 | 1 | -0/+10 |
| | | | | allways_ff, always_comb, and always_latch | ||||
* | Improved error message for options after front-end filename arguments | Clifford Wolf | 2014-06-04 | 1 | -1/+1 |
| | |||||
* | Merged OSX fixes from Siesh1oo with some modifications | Clifford Wolf | 2014-03-13 | 1 | -0/+1 |
| | |||||
* | Added Verilog support for "`default_nettype none" | Clifford Wolf | 2014-02-17 | 1 | -1/+2 |
| | |||||
* | Added a warning note about error reporting to read_verilog help message | Clifford Wolf | 2014-02-16 | 1 | -0/+5 |
| | |||||
* | Implemented read_verilog -defer | Clifford Wolf | 2014-02-13 | 1 | -1/+11 |
| | |||||
* | Added read_verilog -setattr | Clifford Wolf | 2014-02-05 | 1 | -0/+15 |
| | |||||
* | Added support for blanks after -I and -D in read_verilog | Clifford Wolf | 2014-02-02 | 1 | -7/+20 |
| | |||||
* | Added read_verilog -icells option | Clifford Wolf | 2014-01-29 | 1 | -1/+9 |
| | |||||
* | Added verilog_defaults command | Clifford Wolf | 2014-01-17 | 1 | -0/+66 |
| |