| Commit message (Collapse) | Author | Age | Files | Lines |
... | |
| | |
|
| | |
|
| | |
|
| |
| |
| |
| | |
This reverts commit a7632ab3326c5247b8152a53808413b259c13253.
|
| | |
|
| | |
|
| | |
|
| | |
|
|\| |
|
| |
| |
| |
| | |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
| |
| |
| |
| | |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
| |\
| | |
| | | |
iCE40 BRAM primitives init from file
|
| | |
| | |
| | |
| | | |
Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
|
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | | |
This adds a INIT_FILE attribute to the SB_RAM40_4K blocks that will
initialize content from a hex file. Same behavior is imlemented in the
simulation model and in a new pass for actual synthesis
Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
|
| |/
| |
| |
| |
| |
| | |
EBLIF output .param will only use necessary 2 bits
Signed-off-by: Elms <elms@freshred.net>
|
|\| |
|
| |\
| | |
| | | |
Improve ABC netname preservation
|
| | |
| | |
| | |
| | | |
Signed-off-by: David Shah <davey1576@gmail.com>
|
|\| | |
|
| | |
| | |
| | |
| | | |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
| | |
| | |
| | |
| | | |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
| | |
| | |
| | |
| | | |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
| | |
| | |
| | |
| | | |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
| |/
| |
| |
| | |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
|/ |
|
|\
| |
| | |
synth: add k-LUT mode
|
| | |
|
|/
|
|
|
|
|
|
|
|
|
|
| |
The initial list of hits was generated with the codespell command
below, and each hit was evaluated and fixed manually while taking
context into consideration.
DIRS="kernel/ frontends/ backends/ passes/ techlibs/"
DIRS="${DIRS} libs/ezsat/ libs/subcircuit"
codespell $DIRS -S *.o -L upto,iff,thru,synopsys,uint
More hits were found by looking through comments and strings manually.
|
|
|
|
| |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
|\
| |
| | |
equiv_opt: new command, for verifying optimization passes
|
| |
| |
| |
| |
| | |
This allows avoiding techmap crashes e.g. because of large memories
in white-box cell models.
|
|\ \
| | |
| | | |
ice40: Honor the "dont_touch" attribute in FFSSR pass
|
| |/
| |
| |
| |
| |
| |
| | |
This is useful if you want to place FF manually ... can't merge SR in those
because it might make the manual placement invalid
Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
|
|\ \
| | |
| | | |
Only use non-blocking assignments of SB_RAM40_4K for yosys
|
| |/
| |
| |
| |
| |
| |
| |
| |
| |
| |
| | |
In an initial statement, blocking assignments are normally used
and e.g. verilator throws a warning if non-blocking ones are used.
Yosys cannot however properly resolve the interdependencies if
blocking assignments are used in the initialization of SB_RAM_40_4K
and thus this has been used.
This patch will change to use non-blocking assignments only for yosys
|
|/ |
|
|
|
|
| |
This should be combined with -relut to get sensible results.
|
| |
|
| |
|
|
|
|
|
|
|
|
| |
Currently, `ice40_opt -unlut` would map SB_LUT4 to $lut and convert
them back to logic immediately. This is not desirable if the goal
is to operate on $lut cells. If this is desirable, the same result
as `ice40_opt -unlut` can be achieved by running simplemap and opt
after ice40_unlut.
|
|
|
|
| |
Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
|
|
|
|
| |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
|
|
|
|
|
|
|
|
| |
o Not all derived methods were marked 'override', but it is a great
feature of C++11 that we should make use of.
o While at it: touched header files got a -*- c++ -*- for emacs to
provide support for that language.
o use YS_OVERRIDE for all override keywords (though we should probably
use the plain keyword going forward now that C++11 is established)
|
|
|
|
| |
Signed-off-by: David Shah <davey1576@gmail.com>
|
|
|
|
| |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
|
|
|
| |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
|
|
|
|
|
| |
The current code requires workarounds for several simulators
For modelsim, the file must be compiled with -mixedansiports and
xsim needs --relax.
|
|
|
|
|
|
|
| |
* Support output BLIF for Xilinx architectures.
* Support using .names in BLIF for Xilinx architectures.
* Use the same `NO_LUT` define in both `synth_ice40` and
`synth_xilinx`.
|
| |
|
|
|
|
| |
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|