Commit message (Collapse) | Author | Age | Files | Lines | |
---|---|---|---|---|---|
* | Add "min bits" and "min wports" to xilinx dram rules | Eddie Hung | 2019-05-23 | 1 | -0/+4 |
| | |||||
* | Add "wreduce -keepdc", fixes #1016 | Clifford Wolf | 2019-05-20 | 1 | -2/+4 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC | Sylvain Munaut | 2019-05-13 | 1 | -0/+11 |
| | | | | Signed-off-by: Sylvain Munaut <tnt@246tNt.com> | ||||
* | Add "stat -tech xilinx" | Clifford Wolf | 2019-05-11 | 1 | -1/+1 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Fix formatting for synth_intel.cc | Ben Widawsky | 2019-05-09 | 1 | -222/+211 |
| | | | | | | This is realized through the recently added .clang-format file. Signed-off-by: Ben Widawsky <ben@bwidawsk.net> | ||||
* | Add "synth_xilinx -arch" | Clifford Wolf | 2019-05-07 | 1 | -1/+13 |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Merge remote-tracking branch 'origin/master' into clifford/specify | Eddie Hung | 2019-05-03 | 6 | -178/+124 |
|\ | |||||
| * | Rename cells_map.v to prevent clash with ff_map.v | Eddie Hung | 2019-05-03 | 1 | -6/+8 |
| | | |||||
| * | Merge pull request #969 from YosysHQ/clifford/pmgenstuff | Clifford Wolf | 2019-05-03 | 2 | -0/+4 |
| |\ | | | | | | | Improve pmgen, Add "peepopt" pass with shift-mul pattern | ||||
| | * | Run "peepopt" in generic "synth" pass and "synth_ice40" | Clifford Wolf | 2019-04-30 | 2 | -0/+4 |
| | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
| * | | Back to passing all xc7srl tests! | Eddie Hung | 2019-05-01 | 1 | -5/+4 |
| | | | |||||
| * | | Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fine | Eddie Hung | 2019-05-01 | 3 | -170/+104 |
| |\ \ | |||||
| | * \ | Merge pull request #966 from YosysHQ/clifford/fix956 | Clifford Wolf | 2019-04-30 | 1 | -1/+1 |
| | |\ \ | | | | | | | | | | | Drive dangling wires with init attr with their init value | ||||
| | | * | | Add handling of init attributes in "opt_expr -undriven" | Clifford Wolf | 2019-04-30 | 1 | -1/+1 |
| | | |/ | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
| | * | | Refactor synth_xilinx to auto-generate doc | Eddie Hung | 2019-04-26 | 1 | -153/+95 |
| | | | | |||||
| | * | | Cleanup ice40 | Eddie Hung | 2019-04-26 | 1 | -4/+6 |
| | |/ | |||||
| * | | WIP | Eddie Hung | 2019-04-28 | 1 | -36/+22 |
| | | | |||||
| * | | Move neg-pol to pos-pol mapping from ff_map to cells_map.v | Eddie Hung | 2019-04-28 | 2 | -9/+12 |
| | | | |||||
| * | | Revert synth_xilinx 'fine' label more to how it used to be... | Eddie Hung | 2019-04-26 | 1 | -21/+40 |
| | | | |||||
| * | | Where did this check come from!?! | Eddie Hung | 2019-04-26 | 1 | -1/+0 |
| |/ | |||||
* | | Improve $specrule interface | Clifford Wolf | 2019-04-23 | 1 | -2/+2 |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | | Improve $specrule interface | Clifford Wolf | 2019-04-23 | 1 | -3/+4 |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | | Add $specrule cells for $setup/$hold/$skew specify rules | Clifford Wolf | 2019-04-23 | 1 | -0/+28 |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std ↵ | Clifford Wolf | 2019-04-23 | 1 | -70/+70 |
| | | | | | | | | | | | | nomenclature Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | | Add $specify2 and $specify3 cells to simlib | Clifford Wolf | 2019-04-23 | 1 | -0/+147 |
|/ | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
* | Update help message | Eddie Hung | 2019-04-22 | 1 | -1/+1 |
| | |||||
* | Move 'shregmap -tech xilinx' into map_cells | Eddie Hung | 2019-04-22 | 1 | -17/+20 |
| | |||||
* | Merge remote-tracking branch 'origin/master' into xc7srl | Eddie Hung | 2019-04-22 | 12 | -21/+480 |
|\ | |||||
| * | Merge pull request #941 from Wren6991/sim_lib_io_clke | Clifford Wolf | 2019-04-22 | 1 | -10/+19 |
| |\ | | | | | | | ice40 cells_sim.v: update clock enable behaviour based on hardware experiments | ||||
| | * | ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware ↵ | Luke Wren | 2019-04-21 | 1 | -10/+19 |
| | | | | | | | | | | | | experiments | ||||
| * | | Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master | Clifford Wolf | 2019-04-22 | 10 | -10/+458 |
| |\ \ | |||||
| | * | | GoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flow | Diego | 2019-04-12 | 10 | -11/+459 |
| | |/ | |||||
| * | | Re-added clean after techmap in synth_xilinx | Clifford Wolf | 2019-04-22 | 1 | -0/+2 |
| | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | ||||
| * | | Merge pull request #916 from YosysHQ/map_cells_before_map_luts | Clifford Wolf | 2019-04-22 | 1 | -10/+10 |
| |\ \ | | | | | | | | | synth_xilinx to map_cells before map_luts | ||||
| * \ \ | Merge pull request #911 from mmicko/gowin-nobram | Clifford Wolf | 2019-04-22 | 1 | -1/+1 |
| |\ \ \ | | | | | | | | | | | Make nobram false by default for gowin | ||||
| | * | | | Make nobram false by default for gowin | Miodrag Milanovic | 2019-04-02 | 1 | -1/+1 |
| | | | | | |||||
* | | | | | Tidy up, fix for -nosrl | Eddie Hung | 2019-04-21 | 2 | -12/+16 |
| | | | | | |||||
* | | | | | Merge branch 'map_cells_before_map_luts' into xc7srl | Eddie Hung | 2019-04-21 | 1 | -2/+2 |
|\ \ \ \ \ | | |_|/ / | |/| | | | |||||
| * | | | | Merge branch 'master' into map_cells_before_map_luts | Eddie Hung | 2019-04-21 | 6 | -59/+85 |
| |\| | | | |||||
* | | | | | Add comments | Eddie Hung | 2019-04-21 | 1 | -0/+7 |
| | | | | | |||||
* | | | | | Use new pmux2shiftx from #944, remove my old attempt | Eddie Hung | 2019-04-21 | 1 | -3/+8 |
| | | | | | |||||
* | | | | | Merge remote-tracking branch 'origin' into xc7srl | Eddie Hung | 2019-04-20 | 4 | -44/+69 |
|\ \ \ \ \ | | |/ / / | |/| | | | |||||
| * | | | | Revert "synth_* with -retime option now calls abc with -D 1 as well" | Eddie Hung | 2019-04-18 | 11 | -15/+15 |
| | | | | | | | | | | | | | | | | | | | | This reverts commit 9a6da9a79a22e984ee3eec02caa230b66f10e11a. | ||||
| * | | | | Merge branch 'master' into eddie/fix_retime | Eddie Hung | 2019-04-18 | 4 | -44/+69 |
| |\ \ \ \ | | | |_|/ | | |/| | | |||||
| | * | | | Merge pull request #928 from litghost/add_xc7_sim_models | Eddie Hung | 2019-04-12 | 3 | -41/+60 |
| | |\ \ \ | | | | | | | | | | | | | Add additional cells sim models for core 7-series primitives. | ||||
| | | * | | | Remove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra. | Keith Rothman | 2019-04-12 | 3 | -52/+14 |
| | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com> | ||||
| | | * | | | Fix LUT6_2 definition. | Keith Rothman | 2019-04-09 | 1 | -3/+3 |
| | | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com> | ||||
| | | * | | | Add additional cells sim models for core 7-series primatives. | Keith Rothman | 2019-04-09 | 1 | -0/+57 |
| | | | |/ | | | |/| | | | | | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com> | ||||
| | * / | | Fixing issues in CycloneV cell sim | Diego | 2019-04-11 | 1 | -3/+9 |
| | |/ / | |||||
| * | | | synth_* with -retime option now calls abc with -D 1 as well | Eddie Hung | 2019-04-10 | 11 | -15/+15 |
| | | | |