From 3abf5ce6564e24a388b86caf1a00f91f4262b312 Mon Sep 17 00:00:00 2001 From: Felix Fietkau Date: Mon, 7 Mar 2016 17:56:53 +0000 Subject: ramips: convert the remaining subtargets to 4.4 Signed-off-by: Felix Fietkau SVN-Revision: 48954 --- ...051-serial-add-ugly-custom-baud-rate-hack.patch | 22 ---------------------- 1 file changed, 22 deletions(-) delete mode 100644 target/linux/ramips/patches-4.3/0051-serial-add-ugly-custom-baud-rate-hack.patch (limited to 'target/linux/ramips/patches-4.3/0051-serial-add-ugly-custom-baud-rate-hack.patch') diff --git a/target/linux/ramips/patches-4.3/0051-serial-add-ugly-custom-baud-rate-hack.patch b/target/linux/ramips/patches-4.3/0051-serial-add-ugly-custom-baud-rate-hack.patch deleted file mode 100644 index f6450367cf..0000000000 --- a/target/linux/ramips/patches-4.3/0051-serial-add-ugly-custom-baud-rate-hack.patch +++ /dev/null @@ -1,22 +0,0 @@ -From a7eb46e0ea4a11e4dfb56ab129bf816d1059a6c5 Mon Sep 17 00:00:00 2001 -From: John Crispin -Date: Mon, 7 Dec 2015 17:31:08 +0100 -Subject: [PATCH 51/53] serial: add ugly custom baud rate hack - -Signed-off-by: John Crispin ---- - drivers/tty/serial/serial_core.c | 3 +++ - 1 file changed, 3 insertions(+) - ---- a/drivers/tty/serial/serial_core.c -+++ b/drivers/tty/serial/serial_core.c -@@ -359,6 +359,9 @@ uart_get_baud_rate(struct uart_port *por - break; - } - -+ if (tty_termios_baud_rate(termios) == 2500000) -+ return 250000; -+ - for (try = 0; try < 2; try++) { - baud = tty_termios_baud_rate(termios); - -- cgit v1.2.3