From 70434c3f948749690de24effbfd98f7a64e0991f Mon Sep 17 00:00:00 2001 From: Pavel Kubelun Date: Sat, 12 Nov 2016 14:35:56 +0300 Subject: ipq806x: switch to upstream usb driver and backport fixes Also removing fifo-resize property drom DT as it has been removed from the driver. Signed-off-by: Pavel Kubelun --- ...tform_set_drvdata-in-dwc3_of_simple_probe.patch | 31 ++++++++++++++++++++++ 1 file changed, 31 insertions(+) create mode 100644 target/linux/ipq806x/patches-4.4/097-4-usb-dwc3-fix-missing-platform_set_drvdata-in-dwc3_of_simple_probe.patch (limited to 'target/linux/ipq806x/patches-4.4/097-4-usb-dwc3-fix-missing-platform_set_drvdata-in-dwc3_of_simple_probe.patch') diff --git a/target/linux/ipq806x/patches-4.4/097-4-usb-dwc3-fix-missing-platform_set_drvdata-in-dwc3_of_simple_probe.patch b/target/linux/ipq806x/patches-4.4/097-4-usb-dwc3-fix-missing-platform_set_drvdata-in-dwc3_of_simple_probe.patch new file mode 100644 index 0000000000..2aeadc30ed --- /dev/null +++ b/target/linux/ipq806x/patches-4.4/097-4-usb-dwc3-fix-missing-platform_set_drvdata-in-dwc3_of_simple_probe.patch @@ -0,0 +1,31 @@ +From 4c4f106c032ff32b89c98a4d819e68e6e643c14e Mon Sep 17 00:00:00 2001 +From: Wei Yongjun +Date: Tue, 26 Jul 2016 14:47:00 +0000 +Subject: usb: dwc3: fix missing platform_set_drvdata() in + dwc3_of_simple_probe() + +Add missing platform_set_drvdata() in dwc3_of_simple_probe(), otherwise +calling platform_get_drvdata() in remove returns NULL. + +This is detected by Coccinelle semantic patch. + +Signed-off-by: Wei Yongjun +Signed-off-by: Felipe Balbi +--- + drivers/usb/dwc3/dwc3-of-simple.c | 1 + + 1 file changed, 1 insertion(+) + +diff --git a/drivers/usb/dwc3/dwc3-of-simple.c b/drivers/usb/dwc3/dwc3-of-simple.c +index 9743353..e56d59b 100644 +--- a/drivers/usb/dwc3/dwc3-of-simple.c ++++ b/drivers/usb/dwc3/dwc3-of-simple.c +@@ -61,6 +61,7 @@ static int dwc3_of_simple_probe(struct platform_device *pdev) + if (!simple->clks) + return -ENOMEM; + ++ platform_set_drvdata(pdev, simple); + simple->dev = dev; + + for (i = 0; i < simple->num_clocks; i++) { +-- +cgit v0.12 -- cgit v1.2.3