aboutsummaryrefslogtreecommitdiffstats
path: root/src
diff options
context:
space:
mode:
authorJoel Bodenmann <joel@unormal.org>2013-01-11 15:04:33 +0100
committerJoel Bodenmann <joel@unormal.org>2013-01-11 15:04:33 +0100
commit249a1b345f1064957a6fd187fcdfbbcd3c1fecad (patch)
treebee1339c37a00ad1f3d45b594177df16c632c77f /src
parentc974ec449f9f298ca8e02b9985ef7b9595607081 (diff)
downloaduGFX-249a1b345f1064957a6fd187fcdfbbcd3c1fecad.tar.gz
uGFX-249a1b345f1064957a6fd187fcdfbbcd3c1fecad.tar.bz2
uGFX-249a1b345f1064957a6fd187fcdfbbcd3c1fecad.zip
added tdisp demo
Diffstat (limited to 'src')
-rw-r--r--src/tdisp/tdisp.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/tdisp/tdisp.c b/src/tdisp/tdisp.c
index 121ebcf5..d57bae56 100644
--- a/src/tdisp/tdisp.c
+++ b/src/tdisp/tdisp.c
@@ -36,7 +36,7 @@ static uint8_t _displaycontrol;
bool_t tdispInit(void) {
bool_t ret;
- ret = TDIP_LLD(init)();
+ ret = TDISP_LLD(init)();
return ret;
}