aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authoreine <eine@users.noreply.github.com>2020-04-19 19:15:26 +0200
committertgingold <tgingold@users.noreply.github.com>2020-04-19 20:23:37 +0200
commit15371796cfa37b1c624748812da2d7f205e3b03c (patch)
tree2a30003560bccba700904417f607f3a64463c999
parent8bb8453af7acd34705a449cac9cd8427d6db43ba (diff)
downloadghdl-yosys-plugin-15371796cfa37b1c624748812da2d7f205e3b03c.tar.gz
ghdl-yosys-plugin-15371796cfa37b1c624748812da2d7f205e3b03c.tar.bz2
ghdl-yosys-plugin-15371796cfa37b1c624748812da2d7f205e3b03c.zip
readme: fix docker usage
-rw-r--r--README.md6
1 files changed, 4 insertions, 2 deletions
diff --git a/README.md b/README.md
index ba8b0a2..9e886f9 100644
--- a/README.md
+++ b/README.md
@@ -102,10 +102,10 @@ Docker image [`ghdl/synth:beta`](https://hub.docker.com/r/ghdl/synth/tags) inclu
```sh
docker run --rm -t \
- -v $(pwd):/src \
+ -v $(pwd)/examples/icestick/leds:/src \
-w /src \
ghdl/synth:beta \
- yosys -m ghdl -p 'ghdl icestick/leds.vhdl icestick/blink.vhdl -e leds; synth_ice40 -blif leds.blif'
+ yosys -m ghdl -p 'ghdl leds.vhdl blink.vhdl -e leds; synth_ice40 -json leds.json'
```
> In a system with [docker](https://docs.docker.com/install) installed, the image is automatically downloaded the first time invoked.
@@ -113,6 +113,8 @@ docker run --rm -t \
Furthermore, the snippet above can be extended in order to P&R the design with [nextpnr](https://github.com/YosysHQ/nextpnr) and generate a bitstream with [icestorm](https://github.com/cliffordwolf/icestorm) tools:
```sh
+cd examples/icestick/leds/
+
DOCKER_CMD="$(command -v winpty) docker run --rm -it -v /$(pwd)://wrk -w //wrk"
$DOCKER_CMD ghdl/synth:beta yosys -m ghdl -p 'ghdl leds.vhdl rotate4.vhdl -e leds; synth_ice40 -json leds.json'