aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-10-09 10:50:55 +0200
committerTristan Gingold <tgingold@free.fr>2021-10-09 10:50:55 +0200
commit6658d50953f61fb2d3084477d2eeff9c4dd39a98 (patch)
tree0c218830e89f5a34a10b4f478f943e6647489b6f
parent45da327776d68f189c9175d1cd236dd0ecadb527 (diff)
downloadghdl-yosys-plugin-6658d50953f61fb2d3084477d2eeff9c4dd39a98.tar.gz
ghdl-yosys-plugin-6658d50953f61fb2d3084477d2eeff9c4dd39a98.tar.bz2
ghdl-yosys-plugin-6658d50953f61fb2d3084477d2eeff9c4dd39a98.zip
testsuite: add tests for #159
-rw-r--r--testsuite/issues/issue159/repro.vhdl16
-rw-r--r--testsuite/issues/issue159/repro2.vhdl16
-rwxr-xr-xtestsuite/issues/issue159/testsuite.sh11
3 files changed, 43 insertions, 0 deletions
diff --git a/testsuite/issues/issue159/repro.vhdl b/testsuite/issues/issue159/repro.vhdl
new file mode 100644
index 0000000..bc172a4
--- /dev/null
+++ b/testsuite/issues/issue159/repro.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro is
+ port (i : std_logic;
+ o : out std_logic);
+end;
+
+architecture behav of repro is
+begin
+ process(i)
+ variable v : std_logic;
+ begin
+ o <= i or v;
+ end process;
+end behav;
diff --git a/testsuite/issues/issue159/repro2.vhdl b/testsuite/issues/issue159/repro2.vhdl
new file mode 100644
index 0000000..b230555
--- /dev/null
+++ b/testsuite/issues/issue159/repro2.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro2 is
+ port (i : std_logic;
+ o : out std_logic);
+end;
+
+architecture behav of repro2 is
+ signal v : std_logic;
+begin
+ process(i)
+ begin
+ o <= i or v;
+ end process;
+end behav;
diff --git a/testsuite/issues/issue159/testsuite.sh b/testsuite/issues/issue159/testsuite.sh
new file mode 100755
index 0000000..e0a830f
--- /dev/null
+++ b/testsuite/issues/issue159/testsuite.sh
@@ -0,0 +1,11 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+for f in repro repro2; do
+ synth_import "${f}.vhdl -e ${f}"
+done
+
+clean
+echo OK