aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorRoland Coeurjoly <rolandcoeurjoly@gmail.com>2018-01-03 09:44:57 +0100
committertgingold <tgingold@users.noreply.github.com>2018-01-03 09:44:57 +0100
commit035fa4970e63fe6a7ec8349f711359eb74e5b92f (patch)
tree504911e03caa22687974b402f639087e501c58d2 /README.md
parentc49b0cfe4e8e1666fba3493dfe887a8e0744dafe (diff)
downloadghdl-yosys-plugin-035fa4970e63fe6a7ec8349f711359eb74e5b92f.tar.gz
ghdl-yosys-plugin-035fa4970e63fe6a7ec8349f711359eb74e5b92f.tar.bz2
ghdl-yosys-plugin-035fa4970e63fe6a7ec8349f711359eb74e5b92f.zip
Installation instructions updated (#16)
Diffstat (limited to 'README.md')
-rw-r--r--README.md17
1 files changed, 12 insertions, 5 deletions
diff --git a/README.md b/README.md
index 2bead4a..80730b6 100644
--- a/README.md
+++ b/README.md
@@ -7,18 +7,25 @@ This is awfully experimental and work in progress!
Get and install yosys.
-Get ghdl from github,
-build and install
-build and install `libghdlsynth.so`:
+Get ghdl from github.
+
+Get the latest version of GNAT:
+```sh
+$ sudo apt-get install gnat-7
+```
+
+From ghdl, build and install `libghdlsynth.so`. You may need sudo permission.
```sh
$ make libghdlsynth.so
+$ make install.libghdlsynth.included
$ make install.libghdlsynth.shared
+$ make install.libghdlsynth.static
```
From ghdlsynth-beta:
```sh
-make GHDL_PREFIX=prefix-used-to-configure-ghdl
+make GHDL_PREFIX=/usr/local/
```
This generates `ghdl.so`, which can be used directly:
@@ -43,7 +50,7 @@ From ghdlsynth-beta:
Patch yosys sources using `yosys.diff`
Copy the `ghdl/` directory in `yosys/frontends`
-Configure yosys.
+## Configure yosys.
In Makefile.conf, add:
```makefile
ENABLE_GHDL := 1