aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2020-03-26 17:42:33 -0300
committertgingold <tgingold@users.noreply.github.com>2020-03-27 07:33:27 +0100
commit3f4bffc64af13ca1d255e525e58106ba6525efa9 (patch)
treedf301eafbd5e76c5e447276c654601fa9802bee6 /README.md
parentc975230114caebe442e0ec403796771caf70925d (diff)
downloadghdl-yosys-plugin-3f4bffc64af13ca1d255e525e58106ba6525efa9.tar.gz
ghdl-yosys-plugin-3f4bffc64af13ca1d255e525e58106ba6525efa9.tar.bz2
ghdl-yosys-plugin-3f4bffc64af13ca1d255e525e58106ba6525efa9.zip
Fixed URL of the ghdl/synth Docker image
Diffstat (limited to 'README.md')
-rw-r--r--README.md4
1 files changed, 3 insertions, 1 deletions
diff --git a/README.md b/README.md
index 596abfc..50d1420 100644
--- a/README.md
+++ b/README.md
@@ -100,7 +100,7 @@ Alternatively, it is possible to analyze, elaborate and synthesize VHDL sources
## Docker
-Docker image [`ghdl/synth:beta`](https://cloud.docker.com/u/ghdl/repository/docker/ghdl/synth/tags) includes yosys, and the ghdl module (shared library). These can be used to synthesize designs straightaway. For example:
+Docker image [`ghdl/synth:beta`](https://hub.docker.com/r/ghdl/synth/tags) includes yosys, and the ghdl module (shared library). These can be used to synthesize designs straightaway. For example:
```sh
docker run --rm -t \
@@ -110,6 +110,8 @@ docker run --rm -t \
yosys -m ghdl -p 'ghdl icestick/leds.vhdl icestick/blink.vhdl -e leds; synth_ice40 -blif leds.blif'
```
+> In a system with [docker](https://docs.docker.com/install) installed, the image is automatically downloaded the first time invoked.
+
Furthermore, the snippet above can be extended in order to P&R the design with [nextpnr](https://github.com/YosysHQ/nextpnr) and generate a bitstream with [icestorm](https://github.com/cliffordwolf/icestorm) tools:
```sh