aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-05-23 21:23:34 +0200
committerTristan Gingold <tgingold@free.fr>2020-05-23 21:23:34 +0200
commit49725db159c752ef616715210c2ee7bf37187d33 (patch)
tree628b6da4d775cb32b01de6d8a49490460b2ce89a /README.md
parent3aa18af227eee3ee056b853b72dfcaa860f723fb (diff)
downloadghdl-yosys-plugin-49725db159c752ef616715210c2ee7bf37187d33.tar.gz
ghdl-yosys-plugin-49725db159c752ef616715210c2ee7bf37187d33.tar.bz2
ghdl-yosys-plugin-49725db159c752ef616715210c2ee7bf37187d33.zip
Re-add instructions to make a static build.
Diffstat (limited to 'README.md')
-rw-r--r--README.md18
1 files changed, 18 insertions, 0 deletions
diff --git a/README.md b/README.md
index e0cbcc0..8a1d5b5 100644
--- a/README.md
+++ b/README.md
@@ -106,3 +106,21 @@ iceprog leds.bin
```
> NOTE: on GNU/Linux, it should be possible to use `iceprog` through `ghdl/synth:icestorm`. On Windows and macOS, accessing USB/COM ports of the host from containers seems not to be supported yet. Therefore, `iceprog` is required to be available on the host.
+
+## Build as part of yosys (not recommended)
+
+- Get and build ghdl as in the previous section.
+
+- Get [yosys](https://github.com/YosysHQ/yosys) sources.
+
+- Get ghdl-yosys-plugin and:
+ - Patch yosys sources using `yosys.diff`.
+ - Copy `src/*` to `yosys/frontends/ghdl`.
+ - Configure yosys by adding (to) `Makefile.conf`:
+
+```makefile
+ENABLE_GHDL := 1
+GHDL_DIR := <ghdl install dir>
+```
+
+- Build and install yosys.