aboutsummaryrefslogtreecommitdiffstats
path: root/icezum/led_on/led_on.pcf
diff options
context:
space:
mode:
authoreine <6628437+eine@users.noreply.github.com>2020-01-19 03:25:43 +0000
committertgingold <tgingold@users.noreply.github.com>2020-01-19 04:25:43 +0100
commit910073d647e55d133494429d8c3a4bacffc32428 (patch)
tree6b1e616a1f670d44b03c1239ab5cba8aff15b909 /icezum/led_on/led_on.pcf
parent175123cda990ee2b5cfac461bd8ec44956da302a (diff)
downloadghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.gz
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.tar.bz2
ghdl-yosys-plugin-910073d647e55d133494429d8c3a4bacffc32428.zip
migrate from Travis to GHA and rework examples (#78)
* migrate from Travis to GHA * rework examples
Diffstat (limited to 'icezum/led_on/led_on.pcf')
-rw-r--r--icezum/led_on/led_on.pcf9
1 files changed, 0 insertions, 9 deletions
diff --git a/icezum/led_on/led_on.pcf b/icezum/led_on/led_on.pcf
deleted file mode 100644
index 522e201..0000000
--- a/icezum/led_on/led_on.pcf
+++ /dev/null
@@ -1,9 +0,0 @@
-set_io led0 95
-set_io led1 96
-set_io led2 97
-set_io led3 98
-set_io led4 99
-set_io led5 101
-set_io led6 102
-set_io led7 104
-