diff options
author | obijuan <juan@iearobotics.com> | 2017-02-11 10:05:48 +0100 |
---|---|---|
committer | obijuan <juan@iearobotics.com> | 2017-02-11 10:05:48 +0100 |
commit | f2a62a002f09df979bf01bf9fa6c97f1e7cf73d8 (patch) | |
tree | 4fe1bf2f71a690d43b99e1facdbab6ba54bced3d /icezum/led_on/led_on.vhdl | |
parent | 3c41ad5479be9991c2e30351a973b9e078b0e716 (diff) | |
download | ghdl-yosys-plugin-f2a62a002f09df979bf01bf9fa6c97f1e7cf73d8.tar.gz ghdl-yosys-plugin-f2a62a002f09df979bf01bf9fa6c97f1e7cf73d8.tar.bz2 ghdl-yosys-plugin-f2a62a002f09df979bf01bf9fa6c97f1e7cf73d8.zip |
Added a hello world example (led_on) for the icezum Alhambra board
Diffstat (limited to 'icezum/led_on/led_on.vhdl')
-rw-r--r-- | icezum/led_on/led_on.vhdl | 20 |
1 files changed, 20 insertions, 0 deletions
diff --git a/icezum/led_on/led_on.vhdl b/icezum/led_on/led_on.vhdl new file mode 100644 index 0000000..49a88ad --- /dev/null +++ b/icezum/led_on/led_on.vhdl @@ -0,0 +1,20 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity led_on is + port (led0, led1, led2, led3, led4, led5, led6, led7 : out std_logic); +end led_on; + +architecture test of led_on is +begin + + -- Turn on the Led0 + led0 <= '1'; + + -- Turn off the other leds + (led1, led2, led3, led4, led5, led6, led7) <= std_logic_vector'("0000000"); + +end test; + + |