aboutsummaryrefslogtreecommitdiffstats
path: root/icezum/pushbutton/README.md
diff options
context:
space:
mode:
authorobijuan <juan@iearobotics.com>2017-02-12 16:56:33 +0100
committerobijuan <juan@iearobotics.com>2017-02-12 16:56:33 +0100
commitff7dc01a1da2e980f3488592ce3f78fdc4f59191 (patch)
tree575177cae33f6fff687cf620626bbc36587861e9 /icezum/pushbutton/README.md
parentfefc6e76ffe3d88dfbd1badce83600e3cb919179 (diff)
downloadghdl-yosys-plugin-ff7dc01a1da2e980f3488592ce3f78fdc4f59191.tar.gz
ghdl-yosys-plugin-ff7dc01a1da2e980f3488592ce3f78fdc4f59191.tar.bz2
ghdl-yosys-plugin-ff7dc01a1da2e980f3488592ce3f78fdc4f59191.zip
Icezum Alhambra board example: Testing the pushbutton
Diffstat (limited to 'icezum/pushbutton/README.md')
-rw-r--r--icezum/pushbutton/README.md16
1 files changed, 16 insertions, 0 deletions
diff --git a/icezum/pushbutton/README.md b/icezum/pushbutton/README.md
new file mode 100644
index 0000000..e61330b
--- /dev/null
+++ b/icezum/pushbutton/README.md
@@ -0,0 +1,16 @@
+A hello world example for the **Icezum Alhambra board**
+It just blinks all the leds
+
+Execute
+
+```sh
+$ make
+```
+
+for synthesizing the example and
+
+```sh
+$ make prog
+```
+
+for programing the board