aboutsummaryrefslogtreecommitdiffstats
path: root/icezum/pushbutton_and/pushbutton_and.vhdl
diff options
context:
space:
mode:
authorobijuan <juan@iearobotics.com>2017-02-12 17:10:41 +0100
committerobijuan <juan@iearobotics.com>2017-02-12 17:10:41 +0100
commit21a2d83ea1d9b4c824b967fc2d48be2f10585e47 (patch)
tree181ba21799d5b62d6012c829411d5de9abefee09 /icezum/pushbutton_and/pushbutton_and.vhdl
parent6e1d5e5f77b563cc3ad3dd463ecf700e2f67db03 (diff)
downloadghdl-yosys-plugin-21a2d83ea1d9b4c824b967fc2d48be2f10585e47.tar.gz
ghdl-yosys-plugin-21a2d83ea1d9b4c824b967fc2d48be2f10585e47.tar.bz2
ghdl-yosys-plugin-21a2d83ea1d9b4c824b967fc2d48be2f10585e47.zip
Pushbutton_and example added
Diffstat (limited to 'icezum/pushbutton_and/pushbutton_and.vhdl')
-rw-r--r--icezum/pushbutton_and/pushbutton_and.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/icezum/pushbutton_and/pushbutton_and.vhdl b/icezum/pushbutton_and/pushbutton_and.vhdl
new file mode 100644
index 0000000..0a9831d
--- /dev/null
+++ b/icezum/pushbutton_and/pushbutton_and.vhdl
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity pushbutton_and is
+ port (sw1, sw2 : in std_logic;
+ led0, led7 : out std_logic);
+end pushbutton_and;
+
+architecture synth of pushbutton_and is
+
+signal a : std_logic;
+
+begin
+ a <= sw1 and sw2;
+ led0 <= a;
+ led7 <= not a;
+end synth;