aboutsummaryrefslogtreecommitdiffstats
path: root/icezum
diff options
context:
space:
mode:
authorJuan Gonzalez-Gomez <juan@iearobotics.com>2017-02-17 06:22:21 +0100
committertgingold <tgingold@users.noreply.github.com>2017-02-17 06:22:21 +0100
commitd230a4374a09ac6dc4ecdaf3371a23ac494e68ba (patch)
treec9569c5829b627f72a1fd6b591cd7fa98d0d013f /icezum
parent8e6b63247b396fde8116cc714b5d1049a9aef41e (diff)
downloadghdl-yosys-plugin-d230a4374a09ac6dc4ecdaf3371a23ac494e68ba.tar.gz
ghdl-yosys-plugin-d230a4374a09ac6dc4ecdaf3371a23ac494e68ba.tar.bz2
ghdl-yosys-plugin-d230a4374a09ac6dc4ecdaf3371a23ac494e68ba.zip
Update README.md of the counter8 example (#9)
* Update README.md * Update README.md
Diffstat (limited to 'icezum')
-rw-r--r--icezum/counter-8bits/README.md4
1 files changed, 2 insertions, 2 deletions
diff --git a/icezum/counter-8bits/README.md b/icezum/counter-8bits/README.md
index e61330b..4549251 100644
--- a/icezum/counter-8bits/README.md
+++ b/icezum/counter-8bits/README.md
@@ -1,5 +1,5 @@
-A hello world example for the **Icezum Alhambra board**
-It just blinks all the leds
+An example for the **Icezum Alhambra board**
+A binary counter shown in the 8 test leds
Execute