aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/formal/abs/testsuite.sh
diff options
context:
space:
mode:
authorXiretza <Xiretza@users.noreply.github.com>2020-03-10 18:20:23 +0100
committerGitHub <noreply@github.com>2020-03-10 18:20:23 +0100
commitd11471a86eebd0e041032bce74672744ec48ee61 (patch)
tree84bf28063e24841d64f29672b39e6bcaf1374f5d /testsuite/formal/abs/testsuite.sh
parent33f046ca40aae8419e4ea3310cc0f76b537fdefe (diff)
downloadghdl-yosys-plugin-d11471a86eebd0e041032bce74672744ec48ee61.tar.gz
ghdl-yosys-plugin-d11471a86eebd0e041032bce74672744ec48ee61.tar.bz2
ghdl-yosys-plugin-d11471a86eebd0e041032bce74672744ec48ee61.zip
Add abs gate (#91)
Diffstat (limited to 'testsuite/formal/abs/testsuite.sh')
-rwxr-xr-xtestsuite/formal/abs/testsuite.sh9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/formal/abs/testsuite.sh b/testsuite/formal/abs/testsuite.sh
new file mode 100755
index 0000000..10ec36d
--- /dev/null
+++ b/testsuite/formal/abs/testsuite.sh
@@ -0,0 +1,9 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+formal "test_abs"
+
+clean
+echo OK