aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/formal/gates/test_abs.sby
diff options
context:
space:
mode:
authorXiretza <xiretza@xiretza.xyz>2020-03-21 20:48:32 +0100
committertgingold <tgingold@users.noreply.github.com>2020-03-22 08:13:31 +0100
commit63bb08a0893209bd0b1f13e9ab5c3e585ed43514 (patch)
tree854ae38d5202ee361ad2389e26b3fb84a9e6517c /testsuite/formal/gates/test_abs.sby
parentd11471a86eebd0e041032bce74672744ec48ee61 (diff)
downloadghdl-yosys-plugin-63bb08a0893209bd0b1f13e9ab5c3e585ed43514.tar.gz
ghdl-yosys-plugin-63bb08a0893209bd0b1f13e9ab5c3e585ed43514.tar.bz2
ghdl-yosys-plugin-63bb08a0893209bd0b1f13e9ab5c3e585ed43514.zip
Move formal tests for gates into single subdirectory
Diffstat (limited to 'testsuite/formal/gates/test_abs.sby')
-rw-r--r--testsuite/formal/gates/test_abs.sby13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/formal/gates/test_abs.sby b/testsuite/formal/gates/test_abs.sby
new file mode 100644
index 0000000..0e4bc7c
--- /dev/null
+++ b/testsuite/formal/gates/test_abs.sby
@@ -0,0 +1,13 @@
+[options]
+#depth 6
+mode prove
+
+[engines]
+smtbmc z3
+
+[script]
+ghdl --std=08 test_abs.vhd -e ent
+prep -top ent
+
+[files]
+test_abs.vhd