aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/formal/shifts/test_asr.sby
diff options
context:
space:
mode:
authorT. Meissner <programming@goodcleanfun.de>2019-10-07 19:13:46 +0200
committertgingold <tgingold@users.noreply.github.com>2019-10-07 19:13:46 +0200
commitb405a27654f326eb1117c0eda8e7389a64fc5c94 (patch)
tree87867ece999abba761b40ea5d2debdd6018247f4 /testsuite/formal/shifts/test_asr.sby
parentbf8b41da7f0650d93b79447a2a62313b15afc9af (diff)
downloadghdl-yosys-plugin-b405a27654f326eb1117c0eda8e7389a64fc5c94.tar.gz
ghdl-yosys-plugin-b405a27654f326eb1117c0eda8e7389a64fc5c94.tar.bz2
ghdl-yosys-plugin-b405a27654f326eb1117c0eda8e7389a64fc5c94.zip
testsuite: Add formal tests (#57)
* Add formal tests for shift operations * ci: build ghdl/synth:formal and run test suites in it * add testsuite/formal/testsuite.sh * create testsuite/issues * ci: remove a level of grouping * testenv: fix SYMBIYOSYS * refactor * testsuite/formal/shifts: Add check for shifts > vector length
Diffstat (limited to 'testsuite/formal/shifts/test_asr.sby')
-rw-r--r--testsuite/formal/shifts/test_asr.sby13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/formal/shifts/test_asr.sby b/testsuite/formal/shifts/test_asr.sby
new file mode 100644
index 0000000..bfc39e9
--- /dev/null
+++ b/testsuite/formal/shifts/test_asr.sby
@@ -0,0 +1,13 @@
+[options]
+depth 20
+mode prove
+
+[engines]
+smtbmc z3
+
+[script]
+ghdl --std=08 test_asr.vhd -e test_asr
+prep -top test_asr
+
+[files]
+test_asr.vhd