aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issue11/test_or.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-02-26 07:50:01 +0100
committerTristan Gingold <tgingold@free.fr>2017-02-26 07:50:30 +0100
commit100b4da994c446e4d3d661d643aa0395296f1355 (patch)
tree0cceb89a15e98045a7c64ee8fb725f5e3b76221b /testsuite/issue11/test_or.vhdl
parentd85deb6af3832b680065e5a906b11867533b9bbd (diff)
downloadghdl-yosys-plugin-100b4da994c446e4d3d661d643aa0395296f1355.tar.gz
ghdl-yosys-plugin-100b4da994c446e4d3d661d643aa0395296f1355.tar.bz2
ghdl-yosys-plugin-100b4da994c446e4d3d661d643aa0395296f1355.zip
Add testcase for #11
Diffstat (limited to 'testsuite/issue11/test_or.vhdl')
-rw-r--r--testsuite/issue11/test_or.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/issue11/test_or.vhdl b/testsuite/issue11/test_or.vhdl
new file mode 100644
index 0000000..d39d064
--- /dev/null
+++ b/testsuite/issue11/test_or.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity test_or is port (
+ sel0, sel1: in std_logic;
+ c: out std_logic);
+end test_or;
+
+architecture synth of test_or is
+begin
+
+ c <= sel1 or sel0;
+
+end synth;