diff options
author | T. Meissner <programming@goodcleanfun.de> | 2019-10-07 19:13:46 +0200 |
---|---|---|
committer | tgingold <tgingold@users.noreply.github.com> | 2019-10-07 19:13:46 +0200 |
commit | b405a27654f326eb1117c0eda8e7389a64fc5c94 (patch) | |
tree | 87867ece999abba761b40ea5d2debdd6018247f4 /testsuite/issues/issue11/test_nand.vhdl | |
parent | bf8b41da7f0650d93b79447a2a62313b15afc9af (diff) | |
download | ghdl-yosys-plugin-b405a27654f326eb1117c0eda8e7389a64fc5c94.tar.gz ghdl-yosys-plugin-b405a27654f326eb1117c0eda8e7389a64fc5c94.tar.bz2 ghdl-yosys-plugin-b405a27654f326eb1117c0eda8e7389a64fc5c94.zip |
testsuite: Add formal tests (#57)
* Add formal tests for shift operations
* ci: build ghdl/synth:formal and run test suites in it
* add testsuite/formal/testsuite.sh
* create testsuite/issues
* ci: remove a level of grouping
* testenv: fix SYMBIYOSYS
* refactor
* testsuite/formal/shifts: Add check for shifts > vector length
Diffstat (limited to 'testsuite/issues/issue11/test_nand.vhdl')
-rw-r--r-- | testsuite/issues/issue11/test_nand.vhdl | 14 |
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/issues/issue11/test_nand.vhdl b/testsuite/issues/issue11/test_nand.vhdl new file mode 100644 index 0000000..ae60966 --- /dev/null +++ b/testsuite/issues/issue11/test_nand.vhdl @@ -0,0 +1,14 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity test_nand is port ( + sel0, sel1: in std_logic; + c: out std_logic); +end test_nand; + +architecture synth of test_nand is +begin + + c <= sel1 nand sel0; + +end synth; |