aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/testenv.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-02-16 17:14:53 +0100
committerTristan Gingold <tgingold@free.fr>2017-02-16 17:14:53 +0100
commit56a6d968b646f60d8c248b9838455431382081ea (patch)
treecaacc116eb4808f6ba01111117bf53c91cbfefdd /testsuite/testenv.sh
parentecf716c5510cd3b4f0006ba4ff074107e76d88ba (diff)
downloadghdl-yosys-plugin-56a6d968b646f60d8c248b9838455431382081ea.tar.gz
ghdl-yosys-plugin-56a6d968b646f60d8c248b9838455431382081ea.tar.bz2
ghdl-yosys-plugin-56a6d968b646f60d8c248b9838455431382081ea.zip
Testcase for issue #7
Diffstat (limited to 'testsuite/testenv.sh')
-rw-r--r--testsuite/testenv.sh7
1 files changed, 6 insertions, 1 deletions
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index 25ff30c..e5775b5 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -16,6 +16,11 @@ cmd ()
"$@"
}
+run_yosys ()
+{
+ cmd "$YOSYS" -Q "$@"
+}
+
analyze ()
{
echo "analyze $@"
@@ -25,7 +30,7 @@ analyze ()
synth ()
{
echo "synthesize $@"
- cmd "$YOSYS" -Q -q -p "ghdl $@; synth_ice40 -blif out.blif"
+ run_yosys -q -p "ghdl $@; synth_ice40 -blif out.blif"
}
clean ()