aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-05 04:26:56 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-05 04:26:56 +0100
commit11b7d45a180145d943f2c3c2460aa89e91e146d7 (patch)
tree797029f02f73d2edfcbe6e68fab7353242abb2a4 /testsuite
parentf9796ff6c48a352e4bef1de793759784c34007d2 (diff)
downloadghdl-yosys-plugin-11b7d45a180145d943f2c3c2460aa89e91e146d7.tar.gz
ghdl-yosys-plugin-11b7d45a180145d943f2c3c2460aa89e91e146d7.tar.bz2
ghdl-yosys-plugin-11b7d45a180145d943f2c3c2460aa89e91e146d7.zip
testsuite: add synth_ice40 (from synth), add synth_import.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/testenv.sh16
1 files changed, 14 insertions, 2 deletions
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index fd36439..65525b0 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -45,13 +45,25 @@ analyze ()
cmd "$GHDL" -a $GHDL_STD_FLAGS $GHDL_FLAGS $@
}
-synth ()
+synth_import ()
+{
+ travis_start "synth" "Synthesize $@"
+ run_yosys -p "ghdl $*"
+ travis_finish "synth"
+}
+
+synth_ice40 ()
{
travis_start "synth" "Synthesize $@"
- run_yosys -p "ghdl $@; synth_ice40 -blif out.blif"
+ run_yosys -p "ghdl $*; synth_ice40 -blif out.blif"
travis_finish "synth"
}
+synth ()
+{
+ synth_ice40 "$*"
+}
+
formal ()
{
travis_start "formal" "Verify $@"