aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-02-15 12:22:54 +0100
committerTristan Gingold <tgingold@free.fr>2017-02-15 12:22:54 +0100
commit31c421981e03ef1861887507a9f19e2076a87fdb (patch)
tree845a0bf514348181376a8dfd06854568cabb6b4c /testsuite
parent997b4da77c1a7002a7cd6f2794af88c8f8be7c52 (diff)
downloadghdl-yosys-plugin-31c421981e03ef1861887507a9f19e2076a87fdb.tar.gz
ghdl-yosys-plugin-31c421981e03ef1861887507a9f19e2076a87fdb.tar.bz2
ghdl-yosys-plugin-31c421981e03ef1861887507a9f19e2076a87fdb.zip
ice40hx8k/spin1.vhdl: assign all outputs.
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/test-ice40hx8k/testsuite.sh12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/test-ice40hx8k/testsuite.sh b/testsuite/test-ice40hx8k/testsuite.sh
new file mode 100755
index 0000000..be1bf88
--- /dev/null
+++ b/testsuite/test-ice40hx8k/testsuite.sh
@@ -0,0 +1,12 @@
+#!/bin/sh
+
+. ../testenv.sh
+
+analyze ../../ice40hx8k/leds.vhdl
+analyze ../../ice40hx8k/spin1.vhdl
+synth leds
+
+analyze ../../ice40hx8k/spin2.vhdl
+synth leds
+
+clean