aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-05 05:02:00 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-05 05:02:00 +0100
commit4d1d02bc7e777a39122fdeefaa4559615c1d106d (patch)
treefcfeb8b4983fd7700bfc3cff23a486b63ef90d6f /testsuite
parente7432e0ba23e9eb78bb7a381ac4d34431d60b3a1 (diff)
downloadghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.tar.gz
ghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.tar.bz2
ghdl-yosys-plugin-4d1d02bc7e777a39122fdeefaa4559615c1d106d.zip
testsuite: add xfail1 test
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/ghdl-issues/xfail1/test.vhdl13
-rwxr-xr-xtestsuite/ghdl-issues/xfail1/testfail.sh10
-rwxr-xr-xtestsuite/ghdl-issues/xfail1/testsuite.sh12
-rw-r--r--testsuite/testenv.sh2
4 files changed, 37 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/xfail1/test.vhdl b/testsuite/ghdl-issues/xfail1/test.vhdl
new file mode 100644
index 0000000..f9f8ed5
--- /dev/null
+++ b/testsuite/ghdl-issues/xfail1/test.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity test is
+ port(
+ clk : in std_logic
+ );
+end entity test;
+
+architecture behaviour of test is
+begin
+ clk <= '1';
+end architecture behaviour;
diff --git a/testsuite/ghdl-issues/xfail1/testfail.sh b/testsuite/ghdl-issues/xfail1/testfail.sh
new file mode 100755
index 0000000..81e1f26
--- /dev/null
+++ b/testsuite/ghdl-issues/xfail1/testfail.sh
@@ -0,0 +1,10 @@
+#!/bin/sh
+
+# Same as testsuite.sh but should really fail.
+topdir=../..
+. $topdir/testenv.sh
+
+synth_import --std=08 test.vhdl -e
+
+clean
+echo OK
diff --git a/testsuite/ghdl-issues/xfail1/testsuite.sh b/testsuite/ghdl-issues/xfail1/testsuite.sh
new file mode 100755
index 0000000..f73af4f
--- /dev/null
+++ b/testsuite/ghdl-issues/xfail1/testsuite.sh
@@ -0,0 +1,12 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+if synth_import --std=08 test.vhdl -e; then
+ echo "test is expected to fail"
+ exit 1
+fi
+
+clean
+echo OK
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index 48031d1..1c2bbae 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -49,7 +49,9 @@ synth_import ()
{
travis_start "synth" "Synthesize $*"
run_yosys -p "ghdl $*"
+ status=$?
travis_finish "synth"
+ return $status
}
synth_ice40 ()