aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-03-29 20:25:54 +0200
committerTristan Gingold <tgingold@free.fr>2020-03-29 20:26:11 +0200
commit6625c5c1b2d7188546e1f34449f87adaa58e9699 (patch)
treebb2f58b4037c249531b5c61a8f26b03899cc5929 /testsuite
parentf37374f1b2eee45243e3b4a58082f83319af053c (diff)
downloadghdl-yosys-plugin-6625c5c1b2d7188546e1f34449f87adaa58e9699.tar.gz
ghdl-yosys-plugin-6625c5c1b2d7188546e1f34449f87adaa58e9699.tar.bz2
ghdl-yosys-plugin-6625c5c1b2d7188546e1f34449f87adaa58e9699.zip
Add a test for #96
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/issues/issue96/ent.vhdl24
-rwxr-xr-xtestsuite/issues/issue96/testsuite.sh9
2 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/issues/issue96/ent.vhdl b/testsuite/issues/issue96/ent.vhdl
new file mode 100644
index 0000000..8f2f1b5
--- /dev/null
+++ b/testsuite/issues/issue96/ent.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (
+ a : inout std_logic;
+ enable : in std_logic;
+ d_in : in std_logic;
+ d_out : out std_logic
+ );
+end;
+
+architecture a of ent is
+begin
+ process(all)
+ begin
+ if enable then
+ a <= d_in;
+ else
+ a <= 'Z';
+ end if;
+ end process;
+ d_out <= a;
+end;
diff --git a/testsuite/issues/issue96/testsuite.sh b/testsuite/issues/issue96/testsuite.sh
new file mode 100755
index 0000000..42d4220
--- /dev/null
+++ b/testsuite/issues/issue96/testsuite.sh
@@ -0,0 +1,9 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+synth_import --std=08 ent.vhdl -e
+
+clean
+echo OK