aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-05 04:34:20 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-05 04:34:20 +0100
commit7a7e6050f8db18b7030e05a85cbb378e909d5f7b (patch)
treed18873bb69942ba52a91cf8f9893d40a54ea80c4 /testsuite
parent43e40cf55988f11df0fd81d39d917f96bf1579de (diff)
downloadghdl-yosys-plugin-7a7e6050f8db18b7030e05a85cbb378e909d5f7b.tar.gz
ghdl-yosys-plugin-7a7e6050f8db18b7030e05a85cbb378e909d5f7b.tar.bz2
ghdl-yosys-plugin-7a7e6050f8db18b7030e05a85cbb378e909d5f7b.zip
testsuite: move tests of examples in a subdir.
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/examples/test-ice40hx8k/testsuite.sh11
-rwxr-xr-xtestsuite/examples/test-icestick/testsuite.sh17
-rwxr-xr-xtestsuite/examples/test-icezum/testsuite.sh13
-rwxr-xr-xtestsuite/test-ice40hx8k/testsuite.sh11
-rwxr-xr-xtestsuite/test-icestick/testsuite.sh17
-rwxr-xr-xtestsuite/test-icezum/testsuite.sh13
-rw-r--r--testsuite/testenv.sh4
7 files changed, 43 insertions, 43 deletions
diff --git a/testsuite/examples/test-ice40hx8k/testsuite.sh b/testsuite/examples/test-ice40hx8k/testsuite.sh
new file mode 100755
index 0000000..3bf7f9c
--- /dev/null
+++ b/testsuite/examples/test-ice40hx8k/testsuite.sh
@@ -0,0 +1,11 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+src=../../../ice40hx8k
+
+synth_ice40 $src/leds.vhdl $src/spin1.vhdl -e leds
+synth_ice40 $src/leds.vhdl $src/spin2.vhdl -e leds
+
+clean
diff --git a/testsuite/examples/test-icestick/testsuite.sh b/testsuite/examples/test-icestick/testsuite.sh
new file mode 100755
index 0000000..025e8c2
--- /dev/null
+++ b/testsuite/examples/test-icestick/testsuite.sh
@@ -0,0 +1,17 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+src=../../../icestick
+
+# spin2
+
+for f in fixed1 blink multi1 multi2 spin1 rotate1 rotate2 rotate3 rotate4; do
+ synth_ice40 $src/leds.vhdl $src/${f}.vhdl -e leds
+done
+
+UART_SRC=$src/uart/hdl
+synth_ice40 $UART_SRC/uart_rx.vhd $UART_SRC/uart_tx.vhd $UART_SRC/uart_top.vhd -e uart_top
+
+clean
diff --git a/testsuite/examples/test-icezum/testsuite.sh b/testsuite/examples/test-icezum/testsuite.sh
new file mode 100755
index 0000000..4906689
--- /dev/null
+++ b/testsuite/examples/test-icezum/testsuite.sh
@@ -0,0 +1,13 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+src=../../../icezum
+
+synth_ice40 $src/led_on/led_on.vhdl -e led_on
+synth_ice40 $src/blink/blink.vhdl -e blink
+synth_ice40 $src/pushbutton/pushbutton.vhdl -e pushbutton
+synth_ice40 $src/pushbutton_and/pushbutton_and.vhdl -e pushbutton_and
+
+clean
diff --git a/testsuite/test-ice40hx8k/testsuite.sh b/testsuite/test-ice40hx8k/testsuite.sh
deleted file mode 100755
index 0cc1d0b..0000000
--- a/testsuite/test-ice40hx8k/testsuite.sh
+++ /dev/null
@@ -1,11 +0,0 @@
-#!/bin/sh
-
-topdir=..
-. $topdir/testenv.sh
-
-src=../../ice40hx8k
-
-synth "$src/leds.vhdl $src/spin1.vhdl -e leds"
-synth "$src/leds.vhdl $src/spin2.vhdl -e leds"
-
-clean
diff --git a/testsuite/test-icestick/testsuite.sh b/testsuite/test-icestick/testsuite.sh
deleted file mode 100755
index 995c372..0000000
--- a/testsuite/test-icestick/testsuite.sh
+++ /dev/null
@@ -1,17 +0,0 @@
-#!/bin/sh
-
-topdir=..
-. $topdir/testenv.sh
-
-src=../../icestick
-
-# spin2
-
-for f in fixed1 blink multi1 multi2 spin1 rotate1 rotate2 rotate3 rotate4; do
- synth "$src/leds.vhdl $src/${f}.vhdl -e leds"
-done
-
-UART_SRC=$src/uart/hdl
-synth "$UART_SRC/uart_rx.vhd $UART_SRC/uart_tx.vhd $UART_SRC/uart_top.vhd -e uart_top"
-
-clean
diff --git a/testsuite/test-icezum/testsuite.sh b/testsuite/test-icezum/testsuite.sh
deleted file mode 100755
index 48152ef..0000000
--- a/testsuite/test-icezum/testsuite.sh
+++ /dev/null
@@ -1,13 +0,0 @@
-#!/bin/sh
-
-topdir=..
-. $topdir/testenv.sh
-
-src=../../icezum
-
-synth "$src/led_on/led_on.vhdl -e led_on"
-synth "$src/blink/blink.vhdl -e blink"
-synth "$src/pushbutton/pushbutton.vhdl -e pushbutton"
-synth "$src/pushbutton_and/pushbutton_and.vhdl -e pushbutton_and"
-
-clean
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index 65525b0..48031d1 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -47,14 +47,14 @@ analyze ()
synth_import ()
{
- travis_start "synth" "Synthesize $@"
+ travis_start "synth" "Synthesize $*"
run_yosys -p "ghdl $*"
travis_finish "synth"
}
synth_ice40 ()
{
- travis_start "synth" "Synthesize $@"
+ travis_start "synth" "Synthesize $*"
run_yosys -p "ghdl $*; synth_ice40 -blif out.blif"
travis_finish "synth"
}