aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorAimylios <20016942+aimylios@users.noreply.github.com>2020-04-19 14:20:37 +0200
committertgingold <tgingold@users.noreply.github.com>2020-04-19 16:08:35 +0200
commit8bb8453af7acd34705a449cac9cd8427d6db43ba (patch)
tree9243aaa5ec9c4f91abd7291af432dbba41772815 /testsuite
parentd941c8f65bbbb90f97c17e26b5610624c2198b10 (diff)
downloadghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.tar.gz
ghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.tar.bz2
ghdl-yosys-plugin-8bb8453af7acd34705a449cac9cd8427d6db43ba.zip
Improve examples for Lattice iCEstick
- move "leds" examples to subdirectory - add Makefile - add *.json files to .gitignore - adjust README.md and fix some typos
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/examples/test-icestick/testsuite.sh3
1 files changed, 2 insertions, 1 deletions
diff --git a/testsuite/examples/test-icestick/testsuite.sh b/testsuite/examples/test-icestick/testsuite.sh
index 7184fcc..a649937 100755
--- a/testsuite/examples/test-icestick/testsuite.sh
+++ b/testsuite/examples/test-icestick/testsuite.sh
@@ -7,8 +7,9 @@ src=../../../examples/icestick
# spin2
+LEDS_SRC=$src/leds
for f in fixed1 blink multi1 multi2 spin1 rotate1 rotate2 rotate3 rotate4; do
- synth_ice40 $src/leds.vhdl $src/${f}.vhdl -e leds
+ synth_ice40 $LEDS_SRC/leds.vhdl $LEDS_SRC/${f}.vhdl -e leds
done
UART_SRC=$src/uart/hdl