aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-02-05 20:16:24 +0100
committerTristan Gingold <tgingold@free.fr>2020-02-15 07:27:02 +0100
commitb9c7e5609f865cdfd0b3e56c59f44c668c15197b (patch)
treef1868d52f44dad9d8f09cc1e1d00143f01205a1f /testsuite
parent27b14ac284407755a31aa644219948102730f179 (diff)
downloadghdl-yosys-plugin-b9c7e5609f865cdfd0b3e56c59f44c668c15197b.tar.gz
ghdl-yosys-plugin-b9c7e5609f865cdfd0b3e56c59f44c668c15197b.tar.bz2
ghdl-yosys-plugin-b9c7e5609f865cdfd0b3e56c59f44c668c15197b.zip
Add a test for issue 1107
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/ghdl-issues/issue1107/testsuite.sh9
-rw-r--r--testsuite/ghdl-issues/issue1107/unconnected.vhdl15
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/issue1107/testsuite.sh b/testsuite/ghdl-issues/issue1107/testsuite.sh
new file mode 100755
index 0000000..c442e63
--- /dev/null
+++ b/testsuite/ghdl-issues/issue1107/testsuite.sh
@@ -0,0 +1,9 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+synth_import unconnected.vhdl -e
+
+clean
+echo OK
diff --git a/testsuite/ghdl-issues/issue1107/unconnected.vhdl b/testsuite/ghdl-issues/issue1107/unconnected.vhdl
new file mode 100644
index 0000000..82dcb24
--- /dev/null
+++ b/testsuite/ghdl-issues/issue1107/unconnected.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+
+use ieee.std_logic_1164.all;
+
+entity unconnected is
+ port (
+ output: out std_logic
+ );
+end entity;
+
+architecture arch of unconnected is
+ signal no_value: std_logic;
+begin
+ output <= no_value;
+end architecture;