aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icestick/leds/fixed1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'examples/icestick/leds/fixed1.vhdl')
-rw-r--r--examples/icestick/leds/fixed1.vhdl4
1 files changed, 4 insertions, 0 deletions
diff --git a/examples/icestick/leds/fixed1.vhdl b/examples/icestick/leds/fixed1.vhdl
new file mode 100644
index 0000000..b1bbf4b
--- /dev/null
+++ b/examples/icestick/leds/fixed1.vhdl
@@ -0,0 +1,4 @@
+architecture fixed1 of leds is
+begin
+ (led1, led2, led3, led4, led5) <= std_logic_vector'("00101");
+end fixed1;