diff options
Diffstat (limited to 'testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl')
-rw-r--r-- | testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl | 21 |
1 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl b/testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl new file mode 100644 index 0000000..71659cd --- /dev/null +++ b/testsuite/ghdl-issues/issue1238/multiplexers_3.vhdl @@ -0,0 +1,21 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity multiplexers_3 is + + port (di : in std_logic_vector(7 downto 0); + sel : in std_logic_vector(7 downto 0); + do : out std_logic); +end multiplexers_3; + +architecture archi of multiplexers_3 is +begin + do <= di(0) when sel(0)='0' else 'Z'; + do <= di(1) when sel(1)='0' else 'Z'; + do <= di(2) when sel(2)='0' else 'Z'; + do <= di(3) when sel(3)='0' else 'Z'; + do <= di(4) when sel(4)='0' else 'Z'; + do <= di(5) when sel(5)='0' else 'Z'; + do <= di(6) when sel(6)='0' else 'Z'; + do <= di(7) when sel(7)='0' else 'Z'; +end archi; |