diff options
Diffstat (limited to 'testsuite/ghdl-issues/issue1307/conversion_to_RGB.vhd')
-rw-r--r-- | testsuite/ghdl-issues/issue1307/conversion_to_RGB.vhd | 23 |
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/ghdl-issues/issue1307/conversion_to_RGB.vhd b/testsuite/ghdl-issues/issue1307/conversion_to_RGB.vhd new file mode 100644 index 0000000..c14b67b --- /dev/null +++ b/testsuite/ghdl-issues/issue1307/conversion_to_RGB.vhd @@ -0,0 +1,23 @@ +library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+entity conversion_to_RGB is
+ port ( clk : in std_Logic;
+ in_V : in std_logic_vector(11 downto 0);
+ in_W : in std_logic_vector(11 downto 0);
+
+ out_G : out std_logic_vector(11 downto 0);
+ out_R : out std_logic_vector(11 downto 0));
+end entity;
+
+architecture Behavioral of conversion_to_RGB is
+begin
+clk_proc: process(clk)
+ begin
+ if rising_edge(clk) then
+ out_G <= in_V;
+ out_R <= in_W;
+ end if;
+ end process;
+end architecture;
|