aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issue11/test_or.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issue11/test_or.vhdl')
-rw-r--r--testsuite/issue11/test_or.vhdl14
1 files changed, 0 insertions, 14 deletions
diff --git a/testsuite/issue11/test_or.vhdl b/testsuite/issue11/test_or.vhdl
deleted file mode 100644
index d39d064..0000000
--- a/testsuite/issue11/test_or.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity test_or is port (
- sel0, sel1: in std_logic;
- c: out std_logic);
-end test_or;
-
-architecture synth of test_or is
-begin
-
- c <= sel1 or sel0;
-
-end synth;