aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue7/testsuite.sh
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issues/issue7/testsuite.sh')
-rwxr-xr-xtestsuite/issues/issue7/testsuite.sh3
1 files changed, 3 insertions, 0 deletions
diff --git a/testsuite/issues/issue7/testsuite.sh b/testsuite/issues/issue7/testsuite.sh
index 76cf299..5d396dc 100755
--- a/testsuite/issues/issue7/testsuite.sh
+++ b/testsuite/issues/issue7/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
run_yosys -Q -q -p "ghdl ref.vhdl -e vector ref; write_verilog ref.v"
run_yosys -Q -q -p "ghdl ref.vhdl vector.vhdl -e vector synth; write_verilog vector.v"