aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issues')
-rwxr-xr-xtestsuite/issues/issue11/testsuite.sh3
-rwxr-xr-xtestsuite/issues/issue4/testsuite.sh3
-rwxr-xr-xtestsuite/issues/issue6/testsuite.sh3
-rwxr-xr-xtestsuite/issues/issue7/testsuite.sh3
4 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/issues/issue11/testsuite.sh b/testsuite/issues/issue11/testsuite.sh
index 7aecfc9..03b7cb6 100755
--- a/testsuite/issues/issue11/testsuite.sh
+++ b/testsuite/issues/issue11/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
for f in or xor nor nand xnor; do
synth "test_${f}.vhdl -e test_${f}"
done
diff --git a/testsuite/issues/issue4/testsuite.sh b/testsuite/issues/issue4/testsuite.sh
index 12c33cc..b1107b0 100755
--- a/testsuite/issues/issue4/testsuite.sh
+++ b/testsuite/issues/issue4/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
for f in no_vector counter8 vector; do
synth "${f}.vhdl -e ${f}"
done
diff --git a/testsuite/issues/issue6/testsuite.sh b/testsuite/issues/issue6/testsuite.sh
index 4d4b9ca..008fd86 100755
--- a/testsuite/issues/issue6/testsuite.sh
+++ b/testsuite/issues/issue6/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
synth 'vector.vhdl -e vector'
clean
diff --git a/testsuite/issues/issue7/testsuite.sh b/testsuite/issues/issue7/testsuite.sh
index 76cf299..5d396dc 100755
--- a/testsuite/issues/issue7/testsuite.sh
+++ b/testsuite/issues/issue7/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
run_yosys -Q -q -p "ghdl ref.vhdl -e vector ref; write_verilog ref.v"
run_yosys -Q -q -p "ghdl ref.vhdl vector.vhdl -e vector synth; write_verilog vector.v"